文件名称:FIFO

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [PDF]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 343kb
  • 下载次数:
  • 2次
  • 提 供 者:
  • 李**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

FIFO是英文First In First Out 的缩写,是一种先进先出的数据缓存器,他与普通存

储器的区别是没有外部读写地址线,这样使用起来非常简单,但缺点就是只能顺序写

入数据,顺序的读出数据,其数据地址由内部读写指针自动加1完成,不能像普通存

储器那样可以由地址线决定读取或写入某个指定的地址-FIFO is the abbreviation of the English First In First Out, a FIFO data buffer, the difference between ordinary memory is no external read and write address lines, so very simple to use, but the drawback is that the only order to write data sequential read data, the data address by the internal read and write pointer to automatically add one to complete, not like ordinary memory, as can be determined by the address lines to read or write to a specified address
(系统自动生成,下载前可以参看下载内容)

下载文件列表

FIFO.pdf

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org