文件名称:lcd_at_nios_qii_part

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [C/C++] [源码]
  • 上传时间:
  • 2013-08-08
  • 文件大小:
  • 1.71mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

实现了一个简易的数码相框,采用sopc技术里面包含了很多的驱动。-Implements a simple digital photo fr a me
(系统自动生成,下载前可以参看下载内容)

下载文件列表





lcd_at_nios_nii_part

....................\src

....................\...\ads7843

....................\...\.......\ads7843.c

....................\...\.......\ads7843.h

....................\...\debug

....................\...\.....\debug.c

....................\...\.....\debug.h

....................\...\ili9325

....................\...\.......\GB16.h

....................\...\.......\ascii_8x16.h

....................\...\.......\ili932x.c

....................\...\.......\ili932x.h

....................\...\main.c

....................\...\main.c数码相框

....................\...\my_sopc

....................\...\.......\my_regs.h

....................\...\.......\my_types.h

....................\...\sd_card

....................\...\.......\sd_card.c

....................\...\.......\sd_card.h

lcd_at_nios_qii_part

....................\.sopc_builder

....................\.............\filters.xml

....................\.............\install.ptf

....................\.............\install2.ptf

....................\.............\preferences.xml

....................\ads_busy.v

....................\ads_clk.v

....................\ads_din.v

....................\ads_dout.v

....................\ads_irq_n.v

....................\ads_ncs.v

....................\ads_nirq.v

....................\db

....................\..\a_dpfifo_h031.tdf

....................\..\a_fefifo_7cf.tdf

....................\..\altsyncram_3id1.tdf

....................\..\altsyncram_bcf1.tdf

....................\..\altsyncram_i0m1.tdf

....................\..\altsyncram_i2d1.tdf

....................\..\altsyncram_kmf1.tdf

....................\..\altsyncram_n802.tdf

....................\..\altsyncram_o1g1.tdf

....................\..\altsyncram_off1.tdf

....................\..\altsyncram_pff1.tdf

....................\..\altsyncram_sd72.tdf

....................\..\altsyncram_um21.tdf

....................\..\altsyncram_vdg1.tdf

....................\..\cntr_4n7.tdf

....................\..\cntr_omb.tdf

....................\..\decode_jri.tdf

....................\..\ded_mult_br81.tdf

....................\..\dffpipe_93c.tdf

....................\..\dpram_ek21.tdf

....................\..\lcd_at_nios.db_info

....................\..\mult_add_dfr2.tdf

....................\..\mult_add_ffr2.tdf

....................\..\pll_altpll.v

....................\..\prev_cmp_lcd_at_nios.asm.qmsg

....................\..\prev_cmp_lcd_at_nios.fit.qmsg

....................\..\prev_cmp_lcd_at_nios.map.qmsg

....................\..\prev_cmp_lcd_at_nios.qmsg

....................\..\prev_cmp_lcd_at_nios.sta.qmsg

....................\..\scfifo_aq21.tdf

....................\epcs.v

....................\epcs_boot_rom.hex

....................\flash

....................\.....\lcd_at_nios_epcs.flash

....................\.....\lcd_at_nios_epcs_1_.flash

....................\high_res_timer.v

....................\ili_cs_n.v

....................\ili_db.v

....................\ili_ncs.v

....................\ili_nrd.v

....................\ili_nrst.v

....................\ili_nwr.v

....................\ili_rd_n.v

....................\ili_reset_n.v

....................\ili_rs.v

....................\ili_wr_n.v

....................\jtag_uart.v

....................\lcd_at_nios.asm.rpt

....................\lcd_at_nios.done

....................\lcd_at_nios.dpf

....................\lcd_at_nios.fit.rpt

....................\lcd_at_nios.fit.smsg

....................\lcd_at_nios.fit.summary

....................\lcd_at_nios.flow.rpt

....................\lcd_at_nios.jdi

....................\lcd_at_nios.map.rpt

....................\lcd_at_nios.map.smsg

....................\lcd_at_nios.map.summary

....................\lcd_at_nios.pin

....................\lcd_at_nios.pof

....................\lcd_at_nios.qpf

....................\lcd_at_nios.qsf

....................\lcd_at_nios.qws

....................\lcd_at_nios.sof

....................\lcd_at_nios.sta.rpt

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org