文件名称:SegSimplified

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Linux] [SHELL] [源码]
  • 上传时间:
  • 2016-04-19
  • 文件大小:
  • 1.15mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 姚*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

本工程使用verilog HDL和vivado2014集成开发环境实现利用xilinx Basys3开发板上4位数码管显示从0到9999的计数器功能。-This project uses verilog HDL to realise counting 0 to 9999 on the 7-seg LED loaded on Xilinx Basys3 board.
(系统自动生成,下载前可以参看下载内容)

下载文件列表





SegSimplified

.............\.Xil

.............\test.cache

.............\..........\compile_simlib

.............\..........\wt

.............\..........\..\java_command_handlers.wdf

.............\..........\..\synthesis.wdf

.............\..........\..\synthesis_details.wdf

.............\..........\..\webtalk_pa.xml

.............\test.hw

.............\.......\hw_1

.............\.......\....\hw.xml

.............\.......\....\wave

.............\test.runs

.............\.........\.jobs

.............\.........\.....\vrs_config_1.xml

.............\.........\.....\vrs_config_2.xml

.............\.........\.....\vrs_config_3.xml

.............\.........\.....\vrs_config_4.xml

.............\.........\.....\vrs_config_5.xml

.............\.........\.....\vrs_config_6.xml

.............\.........\.....\vrs_config_7.xml

.............\.........\impl_1

.............\.........\......\.init_design.begin.rst

.............\.........\......\.init_design.end.rst

.............\.........\......\.opt_design.begin.rst

.............\.........\......\.opt_design.end.rst

.............\.........\......\.place_design.begin.rst

.............\.........\......\.place_design.end.rst

.............\.........\......\.route_design.begin.rst

.............\.........\......\.route_design.end.rst

.............\.........\......\.vivado.begin.rst

.............\.........\......\.vivado.end.rst

.............\.........\......\.Vivado_Implementation.queue.rst

.............\.........\......\.write_bitstream.begin.rst

.............\.........\......\.write_bitstream.end.rst

.............\.........\......\.Xil

.............\.........\......\gen_run.xml

.............\.........\......\htr.txt

.............\.........\......\init_design.pb

.............\.........\......\ISEWrap.js

.............\.........\......\ISEWrap.sh

.............\.........\......\opt_design.pb

.............\.........\......\place_design.pb

.............\.........\......\project.wdf

.............\.........\......\route_design.pb

.............\.........\......\rundef.js

.............\.........\......\runme.bat

.............\.........\......\runme.log

.............\.........\......\runme.sh

.............\.........\......\seg_simplified.bin

.............\.........\......\seg_simplified.bit

.............\.........\......\seg_simplified.tcl

.............\.........\......\seg_simplified.vdi

.............\.........\......\seg_simplified_80132.backup.vdi

.............\.........\......\seg_simplified_88192.backup.vdi

.............\.........\......\seg_simplified_clock_utilization_placed.rpt

.............\.........\......\seg_simplified_control_sets_placed.rpt

.............\.........\......\seg_simplified_drc_opted.rpt

.............\.........\......\seg_simplified_drc_routed.pb

.............\.........\......\seg_simplified_drc_routed.rpt

.............\.........\......\seg_simplified_io_placed.rpt

.............\.........\......\seg_simplified_opt.dcp

.............\.........\......\seg_simplified_placed.dcp

.............\.........\......\seg_simplified_power_routed.rpt

.............\.........\......\seg_simplified_power_summary_routed.pb

.............\.........\......\seg_simplified_routed.dcp

.............\.........\......\seg_simplified_route_status.pb

.............\.........\......\seg_simplified_route_status.rpt

.............\.........\......\seg_simplified_timing_summary_routed.rpt

.............\.........\......\seg_simplified_timing_summary_routed.rpx

.............\.........\......\seg_simplified_utilization_placed.pb

.............\.........\......\seg_simplified_utilization_placed.rpt

.............\.........\......\usage_statistics_webtalk.html

.............\.........\......\usage_statistics_webtalk.xml

.............\.........\......\vivado.jou

.............\.........\......\vivado.pb

.............\.........\......\vivado_80132.backup.jou

.............\.........\......\vivado_88192.backup.jou

.............\.........\......\write_bitstream.pb

.............\.........\synth_1

.............\.........\.......\.vivado.begin.rst

.............\.........\.......\.vivado.end.rst

.............\.........\.......\.Vivado_Sy

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org