文件名称:dice

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Windows] [程序]
  • 上传时间:
  • 2016-04-20
  • 文件大小:
  • 280kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • zhangy******
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

This zip file is a complete project which designed a virtual dice randomly. Besides, the random math using 8 registers to give a input to the control.
(系统自动生成,下载前可以参看下载内容)

下载文件列表





desex2\control.sv

......\control.sv~

......\control.tcl

......\control.tcl~

......\control_stim.sv

......\control_stim.sv~

......\dtype.sv

......\encoder.sv

......\encoder.sv~

......\encoder.tcl

......\encoder.tcl~

......\encoder_stim.sv

......\encoder_stim.sv~

......\INCA_libs

......\.........\irun.lnx86.14.10.nc

......\.........\...................\.nclib.lock

......\.........\...................\.ncrun.lock

......\.........\...................\.ncv.lock

......\.........\...................\.timestamp.ts

......\.........\...................\bind.lst.lnx86

......\.........\...................\cds.lib

......\.........\...................\cdsrun.lib

......\.........\...................\files.ts

......\.........\...................\hdl.var

......\.........\...................\hdlrun.var

......\.........\...................\ncelab.args

......\.........\...................\ncelab.env

......\.........\...................\ncelab.hrd

......\.........\...................\ncsim.args

......\.........\...................\ncsim.env

......\.........\...................\ncsim_restart.args

......\.........\...................\ncsim_restart.env

......\.........\...................\ncverilog.args

......\.........\...................\ncvlog.args

......\.........\...................\ncvlog.env

......\.........\...................\ncvlog.files

......\.........\...................\ncvlog.hrd

......\.........\...................\OVMHOME

......\.........\...................\temp

......\.........\...................\UVMHOME

......\.........\...................\xllibs

......\.........\snap.nc

......\.........\.......\.nclib.lock

......\.........\.......\.ncrun.lock

......\.........\.......\.ncv.lock

......\.........\.......\.timestamp.ts

......\.........\.......\bind.lst.lnx86

......\.........\.......\cds.lib

......\.........\.......\cdsrun.lib

......\.........\.......\files.ts

......\.........\.......\hdl.var

......\.........\.......\hdlrun.var

......\.........\.......\ncelab.args

......\.........\.......\ncelab.env

......\.........\.......\ncelab.hrd

......\.........\.......\ncsim.args

......\.........\.......\ncsim.env

......\.........\.......\ncsim_restart.args

......\.........\.......\ncsim_restart.env

......\.........\.......\ncverilog.args

......\.........\.......\ncvlog.args

......\.........\.......\ncvlog.env

......\.........\.......\ncvlog.files

......\.........\.......\ncvlog.hrd

......\.........\.......\OVMHOME

......\.........\.......\temp

......\.........\.......\UVMHOME

......\.........\.......\xllibs

......\.........\worklib

......\.........\.......\.cdsvmod

......\.........\.......\.inca.db.043.lnx86

......\.........\.......\cdsinfo.tag

......\.........\.......\control_stim

......\.........\.......\............\sv

......\.........\.......\encoder_stim

......\.........\.......\............\sv

......\.........\.......\inca.lnx86.043.pak

......\.........\.......\random_stim

......\.........\.......\...........\sv

......\ncverilog.key

......\ncverilog.log

......\random.sv

......\random.sv~

......\random.tcl

......\random.tcl~

......\random_sitiml.sv~

......\random_stim.sv

......\random_stim.sv~

......\simvision12450.diag

......\simvision17821.diag

......\test.sv

......\test.sv~

......\test.tcl

......\test_stim.sv

......\waves.shm

......\.........\waves.dsn

......\.........\waves.trn

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org