文件名称:traffic_light

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • 上传时间:
  • 2018-03-04
  • 文件大小:
  • 12kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 王家***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

使用Verilog编写交通灯控制代码,能够直接进行运行仿真。(Using Verilog to write traffic light control code, can run the simulation directly.)
相关搜索: verilog
traffic
light

(系统自动生成,下载前可以参看下载内容)

下载文件列表

文件名大小更新时间
traffic_light\prj\trafic_light\iseconfig\trafic_light.projectmgr 6815 2017-12-10
traffic_light\prj\trafic_light\iseconfig\trafic_light.xreport 20777 2017-12-10
traffic_light\prj\trafic_light\trafic_light.gise 1063 2017-12-10
traffic_light\prj\trafic_light\trafic_light.xise 35927 2017-12-10
traffic_light\prj\trafic_light\trafic_light_summary.html 3545 2017-12-10
traffic_light\prj\trafic_light\_xmsgs\pn_parser.xmsgs 573 2017-12-10
traffic_light\sou\trafic_light.v 2334 2017-12-10
traffic_light\tb\light_test.v 1375 2017-12-10
traffic_light\prj\trafic_light\ipcore_dir 0 2017-12-10
traffic_light\prj\trafic_light\iseconfig 0 2017-12-10
traffic_light\prj\trafic_light\_xmsgs 0 2017-12-10
traffic_light\prj\trafic_light 0 2017-12-10
traffic_light\prj 0 2017-12-10
traffic_light\sou 0 2017-12-10
traffic_light\tb 0 2017-12-10
traffic_light 0 2017-12-10

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org