文件名称:Watch

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Linux] [SHELL] [源码]
  • 上传时间:
  • 2017-05-23
  • 文件大小:
  • 548kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

FPGA开发板的简易时钟源码,开发环境为vivado-FPGA development board of the simple clock source, the development environment for vivado
(系统自动生成,下载前可以参看下载内容)

下载文件列表





Watch\Watch.cache\wt\java_command_handlers.wdf

.....\...........\..\project.wpc

.....\...........\..\synthesis.wdf

.....\...........\..\synthesis_details.wdf

.....\...........\..\webtalk_pa.xml

.....\......hw\hw_1\hw.xml

.....\........\Watch.lpr

.....\........\webtalk\.xsim_webtallk.info

.....\........\.......\labtool_webtalk.log

.....\........\.......\usage_statistics_ext_labtool.html

.....\........\.......\usage_statistics_ext_labtool.xml

.....\......runs\.jobs\vrs_config_1.xml

.....\..........\.....\vrs_config_10.xml

.....\..........\.....\vrs_config_11.xml

.....\..........\.....\vrs_config_12.xml

.....\..........\.....\vrs_config_13.xml

.....\..........\.....\vrs_config_14.xml

.....\..........\.....\vrs_config_15.xml

.....\..........\.....\vrs_config_16.xml

.....\..........\.....\vrs_config_17.xml

.....\..........\.....\vrs_config_18.xml

.....\..........\.....\vrs_config_19.xml

.....\..........\.....\vrs_config_2.xml

.....\..........\.....\vrs_config_20.xml

.....\..........\.....\vrs_config_21.xml

.....\..........\.....\vrs_config_3.xml

.....\..........\.....\vrs_config_4.xml

.....\..........\.....\vrs_config_5.xml

.....\..........\.....\vrs_config_6.xml

.....\..........\.....\vrs_config_7.xml

.....\..........\.....\vrs_config_8.xml

.....\..........\.....\vrs_config_9.xml

.....\..........\impl_1\.init_design.begin.rst

.....\..........\......\.init_design.end.rst

.....\..........\......\.opt_design.begin.rst

.....\..........\......\.opt_design.end.rst

.....\..........\......\.place_design.begin.rst

.....\..........\......\.place_design.end.rst

.....\..........\......\.route_design.begin.rst

.....\..........\......\.route_design.end.rst

.....\..........\......\.vivado.begin.rst

.....\..........\......\.vivado.end.rst

.....\..........\......\.Vivado_Implementation.queue.rst

.....\..........\......\.write_bitstream.begin.rst

.....\..........\......\.write_bitstream.end.rst

.....\..........\......\gen_run.xml

.....\..........\......\htr.txt

.....\..........\......\init_design.pb

.....\..........\......\ISEWrap.js

.....\..........\......\ISEWrap.sh

.....\..........\......\opt_design.pb

.....\..........\......\place_design.pb

.....\..........\......\project.wdf

.....\..........\......\route_design.pb

.....\..........\......\rundef.js

.....\..........\......\runme.bat

.....\..........\......\runme.log

.....\..........\......\runme.sh

.....\..........\......\usage_statistics_webtalk.html

.....\..........\......\usage_statistics_webtalk.xml

.....\..........\......\vivado.jou

.....\..........\......\vivado.pb

.....\..........\......\vivado_3172.backup.jou

.....\..........\......\vivado_3336.backup.jou

.....\..........\......\vivado_4808.backup.jou

.....\..........\......\vivado_6096.backup.jou

.....\..........\......\vivado_796.backup.jou

.....\..........\......\Watch_Mixed.bit

.....\..........\......\Watch_Mixed.tcl

.....\..........\......\Watch_Mixed.vdi

.....\..........\......\Watch_Mixed_3172.backup.vdi

.....\..........\......\Watch_Mixed_3336.backup.vdi

.....\..........\......\Watch_Mixed_4808.backup.vdi

.....\..........\......\Watch_Mixed_6096.backup.vdi

.....\..........\......\Watch_Mixed_7680.backup.vdi

.....\..........\......\Watch_Mixed_clock_utilization_routed.rpt

.....\..........\......\Watch_Mixed_control_sets_placed.rpt

.....\..........\......\Watch_Mixed_drc_opted.rpt

.....\..........\......\Watch_Mixed_drc_routed.pb

.....\..........\......\Watch_Mixed_drc_routed.rpt

.....\..........\......\Watch_Mixed_io_placed.rpt

.....\..........\......\Watch_Mixed_opt.dcp

.....\..........\......\Watch_Mixed_placed.dcp

.....\..........\......\Watch_Mixed_power_routed.rpt

.....\..........\......\Watch_Mixed_power_summary_routed.pb

.....\..........\......\Watch_Mixed_routed.dcp

.....\..........\......\Watch_Mixed_route_status.pb

.....\..........\......\Watch_Mixed_route_status.rpt

.....\..........\......\Watch_Mixed_timing_summary_routed.rpt

.....\..........\......\Watch_Mixed_timing_summary_routed.rpx

.....\..........\......\Watch_Mixed_utilization_placed.pb

.....\..........\......\Watch_Mixed_utilization_placed.rpt

.....\.

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org