文件名称:ethernet_test

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2017-07-07
  • 文件大小:
  • 11.28mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • lj1208******
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

基于FPGA的千兆以太网通讯,通讯方式采用GMII总线通信(Gigabit Ethernet communication based on FPGA, communication using GMII bus communication)
(系统自动生成,下载前可以参看下载内容)

下载文件列表

ethernet_test\constrs_1\new\ethernet.xdc

ethernet_test\ethernet_test.cache\wt\java_command_handlers.wdf

ethernet_test\ethernet_test.cache\wt\project.wpc

ethernet_test\ethernet_test.cache\wt\synthesis.wdf

ethernet_test\ethernet_test.cache\wt\synthesis_details.wdf

ethernet_test\ethernet_test.cache\wt\webtalk_pa.xml

ethernet_test\ethernet_test.hw\ethernet_test.lpr

ethernet_test\ethernet_test.hw\hw_1\hw.xml

ethernet_test\ethernet_test.hw\hw_1\layout\hw_ila_1.layout

ethernet_test\ethernet_test.hw\webtalk\.xsim_webtallk.info

ethernet_test\ethernet_test.hw\webtalk\labtool_webtalk.log

ethernet_test\ethernet_test.hw\webtalk\labtool_webtalk.tcl

ethernet_test\ethernet_test.hw\webtalk\usage_statistics_ext_labtool.html

ethernet_test\ethernet_test.hw\webtalk\usage_statistics_ext_labtool.wdm

ethernet_test\ethernet_test.hw\webtalk\usage_statistics_ext_labtool.xml

ethernet_test\ethernet_test.ip_user_files\ip\ram\ram.veo

ethernet_test\ethernet_test.ip_user_files\ip\ram\ram.vho

ethernet_test\ethernet_test.ip_user_files\ip\ram\ram_stub.v

ethernet_test\ethernet_test.ip_user_files\ip\ram\ram_stub.vhdl

ethernet_test\ethernet_test.ip_user_files\ipstatic\blk_mem_gen_v8_3_1\simulation\blk_mem_gen_v8_3.vhd

ethernet_test\ethernet_test.ip_user_files\mem_init_files\summary.log

ethernet_test\ethernet_test.ip_user_files\README.txt

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\ies\filelist.f

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\ies\filelist_irun.f

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\ies\file_info.txt

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\ies\ram.sh

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\ies\README.txt

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\ies\simulate.do

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\ies\summary.log

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\modelsim\compile.do

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\modelsim\filelist.f

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\modelsim\file_info.txt

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\modelsim\ram.sh

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\modelsim\ram.udo

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\modelsim\README.txt

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\modelsim\simulate.do

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\modelsim\summary.log

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\modelsim\wave.do

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\questa\compile.do

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\questa\elaborate.do

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\questa\filelist.f

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\questa\file_info.txt

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\questa\ram.sh

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\questa\ram.udo

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\questa\README.txt

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\questa\simulate.do

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\questa\summary.log

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\questa\wave.do

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\README.txt

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\vcs\filelist.f

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\vcs\file_info.txt

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\vcs\ram.sh

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\vcs\README.txt

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\vcs\simulate.do

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\vcs\summary.log

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\xsim\cmd.tcl

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\xsim\filelist.f

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\xsim\file_info.txt

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\xsim\ram.sh

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\xsim\README.txt

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\xsim\summary.log

ethernet_test\ethernet_test.ip_user_files\sim_scripts\ram\xsim\vhdl.prj

ethernet_test\ethernet_test.runs\.jobs\vrs_config_1.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_10.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_11.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_12.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_13.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_14.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_15.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_16.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_17.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_18.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_19.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_2.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_20.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_21.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_22.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_3.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_4.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_5.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_6.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_7.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_8.xml

ethernet_test\ethernet_test.runs\.jobs\vrs_config_9.xml

ethernet_test\ethernet_test.runs\impl_1\ethernet_test.tcl

ethernet_test\ethernet_test.runs\impl_1\ethernet_test_17896.backup.vdi

ethernet_test\ethernet_test.runs\impl_1\ethernet_test_17924.backup.vdi

ethernet_test\ethernet_test.runs\impl_1\ethernet_test_18872.backup.vdi

ethernet_test\ethernet_test.runs\impl_1\ethernet_test_18888.backup.vdi

ethernet_test\ethernet_test.runs\impl_1\ethernet_test_19312.backup.vdi

ethernet_test\ethernet_test.runs\impl_1\gen_run.xml

ethernet_test\ethernet_test.runs\impl_1\htr.txt

ethernet_test\ethernet_test.runs\impl_1\init_design.pb

ethernet_test\ethernet_test.runs\impl_1\ISEWrap.js

ethernet_test\ethernet_test.runs\impl_1\ISEWrap.sh

ethernet_test\ethernet_test.runs\impl_1\opt_design.pb

ethernet_test\ethernet_test.runs\impl_1\place_design.pb

ethernet_test\ethernet_test.runs\impl_1\project.wdf

ethernet_test\ethernet_test.runs\impl_1\route_design.pb

ethernet_test\ethernet_test.runs\impl_1\rundef.js

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org