文件名称:clock

介绍说明--下载内容均来自于网络,请自行研究使用

一个简单的24h时钟,包含开机、关机、暂停、置数功能,以及整点时脉冲响五次(a simple digital clock implemented on Vivado)
相关搜索: clock实现

(系统自动生成,下载前可以参看下载内容)

下载文件列表

clock

clock\clock.cache

clock\clock.cache\compile_simlib

clock\clock.cache\compile_simlib\activehdl

clock\clock.cache\compile_simlib\ies

clock\clock.cache\compile_simlib\modelsim

clock\clock.cache\compile_simlib\questa

clock\clock.cache\compile_simlib\riviera

clock\clock.cache\compile_simlib\vcs

clock\clock.cache\wt

clock\clock.cache\wt\gui_resources.wdf

clock\clock.cache\wt\java_command_handlers.wdf

clock\clock.cache\wt\project.wpc

clock\clock.cache\wt\synthesis.wdf

clock\clock.cache\wt\synthesis_details.wdf

clock\clock.cache\wt\webtalk_pa.xml

clock\clock.hw

clock\clock.hw\clock.lpr

clock\clock.hw\hw_1

clock\clock.hw\hw_1\hw.xml

clock\clock.hw\hw_1\wave

clock\clock.ip_user_files

clock\clock.runs

clock\clock.runs\.jobs

clock\clock.runs\.jobs\vrs_config_1.xml

clock\clock.runs\.jobs\vrs_config_2.xml

clock\clock.runs\.jobs\vrs_config_3.xml

clock\clock.runs\.jobs\vrs_config_4.xml

clock\clock.runs\.jobs\vrs_config_5.xml

clock\clock.runs\.jobs\vrs_config_6.xml

clock\clock.runs\.jobs\vrs_config_7.xml

clock\clock.runs\.jobs\vrs_config_8.xml

clock\clock.runs\.jobs\vrs_config_9.xml

clock\clock.runs\impl_1

clock\clock.runs\impl_1\.Vivado_Implementation.queue.rst

clock\clock.runs\impl_1\.Xil

clock\clock.runs\impl_1\.init_design.begin.rst

clock\clock.runs\impl_1\.init_design.end.rst

clock\clock.runs\impl_1\.opt_design.begin.rst

clock\clock.runs\impl_1\.opt_design.end.rst

clock\clock.runs\impl_1\.place_design.begin.rst

clock\clock.runs\impl_1\.place_design.end.rst

clock\clock.runs\impl_1\.route_design.begin.rst

clock\clock.runs\impl_1\.route_design.end.rst

clock\clock.runs\impl_1\.vivado.begin.rst

clock\clock.runs\impl_1\.vivado.end.rst

clock\clock.runs\impl_1\.write_bitstream.begin.rst

clock\clock.runs\impl_1\.write_bitstream.end.rst

clock\clock.runs\impl_1\ISEWrap.js

clock\clock.runs\impl_1\ISEWrap.sh

clock\clock.runs\impl_1\gen_run.xml

clock\clock.runs\impl_1\htr.txt

clock\clock.runs\impl_1\init_design.pb

clock\clock.runs\impl_1\opt_design.pb

clock\clock.runs\impl_1\place_design.pb

clock\clock.runs\impl_1\project.wdf

clock\clock.runs\impl_1\route_design.pb

clock\clock.runs\impl_1\rundef.js

clock\clock.runs\impl_1\runme.bat

clock\clock.runs\impl_1\runme.log

clock\clock.runs\impl_1\runme.sh

clock\clock.runs\impl_1\top.bit

clock\clock.runs\impl_1\top.tcl

clock\clock.runs\impl_1\top.vdi

clock\clock.runs\impl_1\top_clock_utilization_routed.rpt

clock\clock.runs\impl_1\top_control_sets_placed.rpt

clock\clock.runs\impl_1\top_drc_opted.rpt

clock\clock.runs\impl_1\top_drc_routed.pb

clock\clock.runs\impl_1\top_drc_routed.rpt

clock\clock.runs\impl_1\top_drc_routed.rpx

clock\clock.runs\impl_1\top_io_placed.rpt

clock\clock.runs\impl_1\top_methodology_drc_routed.rpt

clock\clock.runs\impl_1\top_methodology_drc_routed.rpx

clock\clock.runs\impl_1\top_opt.dcp

clock\clock.runs\impl_1\top_placed.dcp

clock\clock.runs\impl_1\top_power_routed.rpt

clock\clock.runs\impl_1\top_power_routed.rpx

clock\clock.runs\impl_1\top_power_summary_routed.pb

clock\clock.runs\impl_1\top_route_status.pb

clock\clock.runs\impl_1\top_route_status.rpt

clock\clock.runs\impl_1\top_routed.dcp

clock\clock.runs\impl_1\top_timing_summary_routed.rpt

clock\clock.runs\impl_1\top_timing_summary_routed.rpx

clock\clock.runs\impl_1\top_utilization_placed.pb

clock\clock.runs\impl_1\top_utilization_placed.rpt

clock\clock.runs\impl_1\usage_statistics_webtalk.html

clock\clock.runs\impl_1\usage_statistics_webtalk.xml

clock\clock.runs\impl_1\vivado.jou

clock\clock.runs\impl_1\vivado.pb

clock\clock.runs\impl_1\write_bitstream.pb

clock\clock.runs\synth_1

clock\clock.runs\synth_1\.Vivado_Synthesis.queue.rst

clock\clock.runs\synth_1\.Xil

clock\clock.runs\synth_1\.Xil\top_propImpl.xdc

clock\clock.runs\synth_1\.vivado.begin.rst

clock\clock.runs\synth_1\.vivado.end.rst

clock\clock.runs\synth_1\ISEWrap.js

clock\clock.runs\synth_1\ISEWrap.sh

clock\clock.runs\synth_1\gen_run.xml

clock\clock.runs\synth_1\htr.txt

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org