文件名称:整机代码 2018_12_04end

  • 所属分类:
  • 嵌入式/单片机编程
  • 资源属性:
  • 上传时间:
  • 2018-12-22
  • 文件大小:
  • 18.36mb
  • 下载次数:
  • 1次
  • 提 供 者:
  • 凤*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

使用DA14580 ARM 芯片和心率血氧芯片MAX30102,采集人体心率,血氧(Use DA14580 chip and MAX30102,Collect the HEARD_RATE AND OXYGEN)
相关搜索: DA14580
max30102

(系统自动生成,下载前可以参看下载内容)

下载文件列表

文件名大小更新时间
整机代码 2018_11_18end 0 2018-11-27
整机代码 2018_11_18end\projects 0 2018-11-27
整机代码 2018_11_18end\projects\target_apps 0 2018-11-27
整机代码 2018_11_18end\projects\target_apps\ble_examples 0 2018-11-27
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile 0 2018-11-27
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5 0 2018-11-30
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\ble_app_profile.uvguix.23211 182251 2018-11-30
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\ble_app_profile.uvguix.Administrator 146435 2018-07-03
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\ble_app_profile.uvguix.lzk 182869 2018-11-27
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\ble_app_profile.uvguix.WZH 143893 2018-06-30
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\ble_app_profile.uvguix_Administrator.bak 147929 2018-06-30
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\ble_app_profile.uvguix_WZH.bak 150369 2018-06-30
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\ble_app_profile.uvoptx 76187 2018-11-30
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\ble_app_profile.uvprojx 155445 2018-11-28
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\ble_app_profile_ble_app_profile_580.dep 841296 2018-06-30
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\ble_app_profile_uvoptx.bak 74319 2018-06-30
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\ble_app_profile_uvprojx.bak 157254 2018-06-30
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\EventRecorderStub.scvd 339 2018-11-26
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\JLinkLog.txt 302538 2018-11-30
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\JLinkSettings.ini 650 2018-06-30
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580 0 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\adc.crf 336794 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\adc.d 4426 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\adc.o 321424 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\algorithm.crf 339981 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\algorithm.d 4770 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\algorithm.o 331316 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app.crf 420167 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app.d 6995 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app.o 446240 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_bass.crf 334446 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_bass.d 4683 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_bass.o 315104 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_bass_task.crf 334525 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_bass_task.d 4998 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_bass_task.o 315164 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_customs.crf 353000 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_customs.d 5939 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_customs.o 342768 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_customs_common.crf 346036 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_customs_common.d 6020 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_customs_common.o 331228 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_customs_task.crf 350812 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_customs_task.d 6573 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_customs_task.o 343940 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_default_handlers.crf 357565 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_default_handlers.d 7254 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_default_handlers.o 364848 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_diss.crf 347527 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_diss.d 5672 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_diss.o 337348 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_diss_task.crf 348826 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_diss_task.d 6049 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_diss_task.o 339680 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_easy_msg_utils.crf 347735 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_easy_msg_utils.d 6352 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_easy_msg_utils.o 347580 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_easy_security.crf 387832 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_easy_security.d 7471 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_easy_security.o 406820 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_easy_timer.crf 350413 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_easy_timer.d 6052 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_easy_timer.o 346592 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_entry_point.crf 386244 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_entry_point.d 7785 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_entry_point.o 382032 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_findme.crf 334559 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_findme.d 4895 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_findme.o 316232 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_findme_task.crf 353105 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_findme_task.d 6871 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_findme_task.o 347632 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_msg_utils.crf 349382 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_msg_utils.d 6059 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_msg_utils.o 339640 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_proxr.crf 334446 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_proxr.d 4747 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_proxr.o 315096 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_proxr_task.crf 334527 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_proxr_task.d 5062 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_proxr_task.o 315164 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_security.crf 384138 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_security.d 7025 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_security.o 373060 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_security_task.crf 334528 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_security_task.d 5249 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_security_task.o 315212 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_spotar.crf 334446 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_spotar.d 4811 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_spotar.o 315120 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_spotar_task.crf 334529 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_spotar_task.d 5126 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_spotar_task.o 315200 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_task.crf 366773 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_task.d 6165 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\app_task.o 360812 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\arch_console.crf 342152 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\arch_console.d 5273 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\arch_console.o 321356 2018-12-01
整机代码 2018_11_18end\projects\target_apps\ble_examples\ble_app_profile\Keil_5\out_580\arch_main.crf 635944 2018-12-01

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org