搜索资源列表

  1. 16位乘法器

    0下载:
  2. 自已写的一个16X16的乘法器,速度比较慢。初学者练习练习!-own writing an audio Multiplier, speed is relatively slow. Beginners practice practice!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2021
    • 提供者:唐勇翔
  1. mutip

    0下载:
  2. 16位乘法器 16位乘法器
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1017
    • 提供者:liukong
  1. 16位快速乘法器

    1下载:
  2. VHDL语言实现的16位快速乘法器-VHDL of 16 rapid Multiplier
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2964
    • 提供者:乔安山
  1. 16位快速乘法器

    0下载:
  2. VHDL语言实现的16位快速乘法器-VHDL of 16 rapid Multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-06
    • 文件大小:3072
    • 提供者:
  1. 16位乘法器

    0下载:
  2. 自已写的一个16X16的乘法器,速度比较慢。初学者练习练习!-own writing an audio Multiplier, speed is relatively slow. Beginners practice practice!
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-06
    • 文件大小:2048
    • 提供者:唐勇翔
  1. booth_mul

    0下载:
  2. 一种可以完成16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了改进的Booth算法,简化了部分积的符号扩展,采用Wallace树和超前进位加法器来进一步提高电路的运算速度。本乘法器可以作为嵌入式CPU内核的乘法单元,整个设计用VHDL语言实现。-a 16 to be completed with symbols/unsigned multiplication of the number of binary multipliers.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-06
    • 文件大小:19456
    • 提供者:*
  1. verilog_multiplier

    0下载:
  2. verilog实现16*16位乘法器,带测试文件-verilog achieve 16* 16 multiplier, with test documents
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-06
    • 文件大小:25600
    • 提供者:zzm
  1. VHDL-XILINX-EXAMPLE26

    0下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC08
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-06
    • 文件大小:3687424
    • 提供者:hawd
  1. mutip

    0下载:
  2. 16位乘法器 16位乘法器 -16-bit multiplier 16 multiplier 16 multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-06
    • 文件大小:1024
    • 提供者:
  1. multiplier

    0下载:
  2. 8*8乘法器及其测试:采用booth编码的乘法器:1. ultipler_quick_add_4 即4位的并行全加器,在这里主要起了两个作用:第一个是在求部分积单元时,当编码为3x时用来输出部分积;另外一个是在将部分积加起来时,求3到6位时所用到。 2. ultiplier_quick_add_5 即5位的并行全加器,这里用来分别计算积的7到11位和12到16位。 3. ultiplier_unit_4 这个模块是用
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-06
    • 文件大小:9216
    • 提供者:chenyi
  1. multi

    0下载:
  2. 基于CPLD/FPGA的十六位乘法器的VHDL实现-Based on CPLD/FPGA multiplier of 16 to achieve the VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-06
    • 文件大小:696320
    • 提供者:peter
  1. booth

    0下载:
  2. 一个基于VerilogHDL语言的16位的booth算法的乘法器及其测试代码-VerilogHDL language based on the 16-bit multiplier of the booth algorithm and test code
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-06
    • 文件大小:1024
    • 提供者:lixiang
  1. adder17

    0下载:
  2. 实现17位加法,利用一个16位超前进位加法器和一个一位全加器构成的一个有进位输入和进位输出的17加法器,并且16位加法器利用的使四位超前进位加法器构成。它在booth乘法器设计中经常用到。可以使初学者对模块的调用了解更加透彻。-Adder 17 to achieve the use of a 16-bit CLA, and a one-bit full adder composed of a binary input and binar
  3. 所属分类:其他小程序

    • 发布日期:2024-06-06
    • 文件大小:2048
    • 提供者:htpq
  1. 16bit_multiply

    0下载:
  2. 一个16位并行乘法器, 已经进过功能验证, 可以用于综合。 -a 16bit parallel multiply after verification, can be used to synthesis
  3. 所属分类:其他小程序

    • 发布日期:2024-06-06
    • 文件大小:5120
    • 提供者:maxi gu
  1. 16bit_mult

    0下载:
  2. 16位的无符号数乘法器,自己写的,经得起验证,注释很详细-16-bit unsigned multiplier, its own written
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-06
    • 文件大小:323584
    • 提供者:郭富民
  1. original_code_multiplier

    0下载:
  2. 16位原码乘法器,附带测试程序,实现两个16位的乘数相乘。(16-bit original code multiplier with test program)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-06
    • 文件大小:1024
    • 提供者:digital_wang
  1. mux16

    0下载:
  2. 用verilog写的乘法器,在quartus里可以直接运行,有详细注释(Multiplier written in Verilog, in quartus can run directly, with detailed notes)
  3. 所属分类:其他小程序

    • 发布日期:2024-06-06
    • 文件大小:119808
    • 提供者:万寿吾江1
  1. 16bit-multiplier

    0下载:
  2. 实现verilog16位乘法器,verilog新手(achieve 16-bit multiplier)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-06
    • 文件大小:1024
    • 提供者:风20171201
  1. booth

    0下载:
  2. 基于booth算法的16位乘法器,通过减少部分积的运算次数提升速度。(The 16 bit multiplier based on the Booth algorithm improves the speed by reducing the number of arithmetic times of the partial product.)
  3. 所属分类:中间件编程

    • 发布日期:2024-06-06
    • 文件大小:1024
    • 提供者:JoincoreX
  1. 16 bit signed number multiplier

    0下载:
  2. 16位有符号数乘法器,使用Booth编码和华莱士树,提供程序源文件和测试文件(The 16 bit signed multiplier uses Booth encoding and Wallace tree to provide source files and test files.)
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-06-06
    • 文件大小:6144
    • 提供者:Yongsen Wang
« 12 3 4 5 »

源码中国 www.ymcn.org