搜索资源列表

  1. cnt60

    0下载:
  2. 同步计数器和异步计数器在设计时有哪些区别?试用 六进制计数器和一个十进制计数器构成一个六十进制同步计数器。-synchronous and asynchronous counter counter in the design these differences? 6 probation and 229 counters constitute a decimal counter a six decimal synchronous coun
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:848
    • 提供者:sunqionghui
  1. cnt60

    0下载:
  2. 同步计数器和异步计数器在设计时有哪些区别?试用 六进制计数器和一个十进制计数器构成一个六十进制同步计数器。-synchronous and asynchronous counter counter in the design these differences? 6 probation and 229 counters constitute a decimal counter a six decimal synchronous coun
  3. 所属分类:其他小程序

    • 发布日期:2024-06-13
    • 文件大小:1024
    • 提供者:sunqionghui
  1. 60COUNTER

    0下载:
  2. 六十进制计数器.电子万年历是计数器的应用之一.年由月的十二进制计数器进位+1得到.月是日的三十进制计数器进位+1得到.日是小时的二十四进制计数器进位+1得到.小时是分的六十进制计数器进位+1得到.分是秒的六十进制计数器进位+1得到.本程序基于VHDL.其开发环境是MAXPLUS2-Six decimal counter. Electronic calendar is one of counter application. 10 mont
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-13
    • 文件大小:4096
    • 提供者:weixiaoyu
  1. work5FREQTEST

    0下载:
  2. 8位十六进制频率计设计 根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1s的输入信号脉冲计数允许信号;1s计数结束后,计数值被锁入锁存器,计数器清零,为下一测频计数周期做好准备。测频控制信号可由一个独立的发生器(FTCTRL)来产生。-8-bit hexadecimal Cymometer designed in accordance with the definition of frequency and freq
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:244736
    • 提供者:lkiwood
  1. Timer

    0下载:
  2. 基于vhdl的电子时钟,其中包括六进制计数器和十进制计数器。-VHDL-based electronic clock, including six hexadecimal decimal counters and counters.
  3. 所属分类:系统编程

    • 发布日期:2024-06-13
    • 文件大小:110592
    • 提供者:kathy
  1. SHUZIMIAOBIAO

    0下载:
  2. 秒表的逻辑结构比较简单,它主要由、显示译码器、分频器、十进制计数器、报警器和六进制计数器组成。在整个秒表中最关键是如何获得一个精确的100Hz计时脉冲,除此之外,整个秒表还需要一个启动信号和一个归零信号,以便能够随时启动及停止。 秒表有六个输出显示,分别为百分之一秒,十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之对应,6个个计数器全为BCD码输出,这样便于同时显示译码器的连接。当计时达60分钟后,蜂鸣器鸣响10声。 -
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:6144
    • 提供者:朱书洪
  1. 60jinzhijiafajishuqi

    0下载:
  2. 60进制加法计数器设计时主要采用数电知识,采用清零法和反馈置数法进行电路设计。用两片74161,采用反馈清零法进行电路设计,此时相当于设计两个加法计数器,左边的是高位片,此时的高位片在电路中相当于是一片六进制的加法计数器,逢六进清零,右边的是低位片,相当于一个十进制的加法计数器,逢十清零,此电路采用置零法与反馈清零法用multisim中进行仿真-60 Counter-band adder design using a number of
  3. 所属分类:其他小程序

    • 发布日期:2024-06-13
    • 文件大小:217088
    • 提供者:傅强
  1. counter16

    0下载:
  2. 利用simulink制作的十六进制计数器-Simulink produced using hexadecimal counter
  3. 所属分类:matlab例程

    • 发布日期:2024-06-13
    • 文件大小:7168
    • 提供者:程诗宇
  1. daima

    0下载:
  2. 用VHDL语言设计一个数字秒表: 1、 秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。 2、 计时精度为10MS。 3、 复位开关可以随时使用,按下一次复位开关,计时器清零。 4、 具有开始/停止功能,按一下开关,计时器开始计时,再按一下,停止计时。系统设计分为几大部分,包括控制模块、时基分频模块、计时模块和显示模块等。其中,计时模块有分为六进制和十进制计时器。计时是对标准时钟脉冲计数。计数器由
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:5120
    • 提供者:SAM
  1. updown_6

    0下载:
  2. 这是一个使用VHDL语言编写的六进制计数器,具有自动控制加计数或减计数的功能。-This is a VHDL language using the six binary counter, with automatic control plus or minus count count function.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:391168
    • 提供者:liwx
  1. ElectronicClockandsimulationwithVHDL

    0下载:
  2. 电子时钟VHDL程序与仿真。包括:10进制计数器设计与仿真,6进制计数器设计与仿真,24进制计数器设计与仿真.-Electronic Clock and simulation of VHDL program. Includes: 10 binary counter design and simulation, 6 binary counter design and simulation, 24 binary counter design
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:63488
    • 提供者:Zhu
  1. count_16

    0下载:
  2. 十六进制计数器,还可以,使用VHDL编写的,下载试试吧-Hexadecimal counter, you can also use VHDL written Try now
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:17408
    • 提供者:huyumeng
  1. 7

    0下载:
  2. 调用总共四个计数器(两个六进制,两个十进制,六进制计数器可由实验五的程序做简单修改而成)串起来构成异步计数器,计数器的值,通过实验九串行扫描输出。用1Hz连续脉冲作为输入,这样就构成一个简单的1h计时器。带一个清零端。 输入:连续脉冲,逻辑开关;输出:七段LED。 -Called a total of four counters (two six-band, two decimal, hexadecimal counter
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:6144
    • 提供者:李小勇
  1. secondclock

    0下载:
  2. 本设计是基于altera公司的ep2s750FPGA芯片的秒表计数器,其中包含六进制计数器和十进制计数器和万分频器等模块。-This design is based on the company s ep2s750FPGA altera stopwatch counter chip, which contains six binary counter and decimal counter and 10,000 divider modu
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:334848
    • 提供者:游飞
  1. answermachine5

    0下载:
  2. 这次设计的抢答器主要四部分组成,由优先编码器,寄存器和译码器组成的抢答电路,十进制计数器组成的倒计时电路,555定时器组成的秒脉冲发生器,十六进制计数器组成的计数器。-The design of the Responder mainly of four parts, by the priority encoder, register, and the composition of the answer in the decoder ci
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:311296
    • 提供者:小王珊珊
  1. 2010011022

    0下载:
  2. 在电子领域内,频率是一种最基本的参数,并与其他许多电参量的测量方案和测量结果都有着十分密切的关系。由于频率信号抗干扰能力强、易于传输,可以获得较高的测量精度。因此,频率的测量就显得尤为重要,测频方法的研究越来越受到重视。   频率计作为测量仪器的一种,常称为电子计数器,它的基本功能是测量信号的频率和周期频率计的应用范围很广,它不仅应用于一般的简单仪器测量,而且还广泛应用于教学、科研、高精度仪器测量、工业控制等其它领域。在数字电路中,
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:611328
    • 提供者:程琳
  1. jz_6

    0下载:
  2. 基于VHDL语言编写的六进制计数器-6 system
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:247808
    • 提供者:仇之东
  1. EDAshuzimiaobiao

    0下载:
  2. EDA数字秒表 一、总体设计要求: 设计一个数字秒表,共有6位输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分;秒表的最大计数容量为60分钟,当计时达60分钟后,蜂鸣器报警;秒表还需有一个启动信号和一个归零信号,以便秒表能随意启停及归零。 二、技术要点: 1.秒表的逻辑结构主要由显示译码器、分频器、十进制计数器、六进制计数器和报警器组成。 2.最关键的是精确的100Hz计时脉冲如何获得,可由高频时钟信号经分
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:921600
    • 提供者:枫叶儿2012
  1. word

    0下载:
  2. 英文显示电路显示0到f 的十六进制计数器-English display circuit
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:1024
    • 提供者:黄国猛
  1. miaobiao

    0下载:
  2. 秒表应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了数字系统的开发时间。我们尝试利用VHDL为开发工具设计数字秒表。 秒表的逻辑结构较简单,它主要由十进制计数器、六进制计数器、数据选择器、和显示译码器等组成。在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲,除此之外,整个秒表还需有一个启动信号和一个清零信号,以便秒表能随意停止及启动。 秒表有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、
  3. 所属分类:串口编程

    • 发布日期:2024-06-13
    • 文件大小:2048
    • 提供者:范增
« 12 3 »

源码中国 www.ymcn.org