搜索资源列表

  1. 一个并行高速乘法器芯片的设计与实现

    0下载:
  2. 一个并行高速乘法器芯片的设计与实现-a parallel high-speed chip Multiplier Design and Implementation of
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:33354
    • 提供者:虞亮
  1. multi4

    0下载:
  2. fulladder.vhd 一位全加器 adder.vhd 四位全加器 multi4.vhd 四位并行乘法器-fulladder.vhd a full adder adder.vhd four full adder mult i4.vhd four parallel multiplier
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1516
    • 提供者:杨奎元
  1. CHENGFAQI

    0下载:
  2. 本源码是高速并行乘法器的设计源码,开发软件为MAX+PLUS.输入为两个带符号的二进制数-the source is a high-speed parallel multiplier design source, development of software for MAX PLUS. with the importation of two symbols of binary -
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:980
    • 提供者:朱冬梅
  1. bmul32

    1下载:
  2. 用VHDL写的一个32位并行乘法器的源代码,已经过验证,可以直接使用
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1608
    • 提供者:zh
  1. bmul32_test

    0下载:
  2. 32位并行乘法器的测试文件,已经经过验证,可以直接使用
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1127
    • 提供者:zh
  1. VHDL学习的好资料--18个VHDL实验源代码

    6下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. 一个并行高速乘法器芯片的设计与实现

    0下载:
  2. 一个并行高速乘法器芯片的设计与实现-a parallel high-speed chip Multiplier Design and Implementation of
  3. 所属分类:电子书籍

    • 发布日期:2024-05-31
    • 文件大小:32768
    • 提供者:虞亮
  1. multi4

    0下载:
  2. fulladder.vhd 一位全加器 adder.vhd 四位全加器 multi4.vhd 四位并行乘法器-fulladder.vhd a full adder adder.vhd four full adder mult i4.vhd four parallel multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:1024
    • 提供者:杨奎元
  1. CHENGFAQI

    0下载:
  2. 本源码是高速并行乘法器的设计源码,开发软件为MAX+PLUS.输入为两个带符号的二进制数-the source is a high-speed parallel multiplier design source, development of software for MAX PLUS. with the importation of two symbols of binary-
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:1024
    • 提供者:朱冬梅
  1. bmul32

    0下载:
  2. 用VHDL写的一个32位并行乘法器的源代码,已经过验证,可以直接使用-Use VHDL to write a 32-bit parallel multiplier source code, has already been verified, you can directly use
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:1024
    • 提供者:zh
  1. bmul32_test

    0下载:
  2. 32位并行乘法器的测试文件,已经经过验证,可以直接使用-32-bit parallel multiplier test paper has been verified, you can directly use
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:1024
    • 提供者:zh
  1. multiplier

    0下载:
  2. 8*8乘法器及其测试:采用booth编码的乘法器:1. ultipler_quick_add_4 即4位的并行全加器,在这里主要起了两个作用:第一个是在求部分积单元时,当编码为3x时用来输出部分积;另外一个是在将部分积加起来时,求3到6位时所用到。 2. ultiplier_quick_add_5 即5位的并行全加器,这里用来分别计算积的7到11位和12到16位。 3. ultiplier_unit_4 这个模块是用
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:9216
    • 提供者:chenyi
  1. fir_parall

    0下载:
  2. 基于verilog的fir滤波器设计,用的并行结构。在前面基础上加入四级流水(加法器,并行乘法器,乘法结果相加两级),通过验证。-Verilog-based design of fir filter using the parallel architecture. In front of the basis of adding four water (adder, parallel multiplier, multiply the re
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:3072
    • 提供者:张堃
  1. ex

    0下载:
  2. 用HDPLD实现的高速并行乘法器,其输入为两个带符号位的4位二进制数- HDPLD implementation with high-speed parallel multiplier, the input symbols with two 4-bit binary number
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:40960
    • 提供者:庞永亮
  1. 16bit_multiply

    0下载:
  2. 一个16位并行乘法器, 已经进过功能验证, 可以用于综合。 -a 16bit parallel multiply after verification, can be used to synthesis
  3. 所属分类:其他小程序

    • 发布日期:2024-05-31
    • 文件大小:5120
    • 提供者:maxi gu
  1. lunwen

    0下载:
  2. 潘明海 刘英哲 于维双 (论文) 中文摘要: 本文讨论了一种可在FPGA上实现的FFT结构。该结构采用基于流水线结构和快速并行乘法器的蝶形处理器。乘法器采用改进的Booth算法,简化了部分积符号扩展,使用Wallace树结构和4-2压缩器对部分积归约。以8点复点FFT为实例设计相应的控制电路。使用VHDL语言完成设计,并综合到FPGA中。从综合的结果看该结构可在XC4025E-2上以52MHz的时钟高速运行。在此基础上易于扩展为
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:128000
    • 提供者:culun
  1. verilog

    0下载:
  2. 介绍了一种64位子字并行乘法器的设计。根据不同的操作模式可以完成普通模式操作即64bit*64bit乘法操作,又可完成子字并行操作模式,即4个16bit*16bit乘法操作。-Introduced a 64-seat word parallel multiplier design. Depending on the operating mode Normal mode operation can be done that 64bit*
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:99328
    • 提供者:余娅
  1. verilog-example

    0下载:
  2. 4位并行乘法器 4位超前加法器 ALU 计数器 滤波器 全加器 序列检测器 移位器-failed to translate
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:6144
    • 提供者:向死而生
  1. 4MUL

    0下载:
  2. 四位并行乘法器的VHDL源代码,已通过验证,可以使用-Four parallel multiplier VHDL source code has been validated, you can use
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:5120
    • 提供者:周三强
  1. Eight-parallel-by-skulls

    0下载:
  2. 8 位并行乘法器 vhdl语言描述-Eight parallel by skulls
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-31
    • 文件大小:217088
    • 提供者:郭少华
« 12 3 »

源码中国 www.ymcn.org