搜索资源列表

  1. vhdl0716

    1下载:
  2. ISE7.1,采用VIRTEX-II芯片。实现adc数据采样,平均,通道选择,采样时钟选择,数据格式调整,内含fifo,uart等模块。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:8431602
    • 提供者:杨奋燕
  1. VHDL作业-张晓峰036099149

    0下载:
  2. VHDL的四选一选择器-VHDL four elected a selector
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:51200
    • 提供者:熊辉波
  1. 考勤记录系统(报表打印)

    0下载:
  2. 这个程序是一个个人考勤软件,它从系统时钟获取时间信息,只要上下班时按时在当日考勤栏内点击相应的栏目标题即可逐日记录下个人每天的工作时间,按月统计汇总,按年形成文件.可以随意查看过去的记录,也可把记录按月打印出来.在年汇总栏内可以选择要查看或打印的月份。 这个小程序是我的一个习作,希望与感兴趣的同好切磋交流。 本程序是一个基于对话框的实用程序,在基于对话框界面的程序中实现打印和打印预览是采用了非常规MFC方法。-the procedure
  3. 所属分类:企业管理(财务/ERP/EIP等)

    • 发布日期:2024-06-09
    • 文件大小:89088
    • 提供者:赵悠
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Develo
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:3151872
    • 提供者:Jawen
  1. VistaColck

    0下载:
  2. 最新版的Vista界面精美时钟控件源代码,新增时钟滴答声,是否显示秒针,徽标位置,时区偏移等功能。支持达8款时钟皮肤界面以及支持用户自定义皮肤,皮肤包括流行操作系统时钟界面,如Vista,longhorn等,界面支持背景图片,自定义显示时针,分针,秒针已经徽标和高亮光特效,支持透明度选择,指定显示位置等。皮肤可以自己使用PNG格式制作。使用GDI Plus编程,系统没有安装GDI支持dll的,可以到微软网站:http://www.mic
  3. 所属分类:图形图象

    • 发布日期:2024-06-09
    • 文件大小:785408
    • 提供者:刘德华
  1. vhdl0716

    0下载:
  2. ISE7.1,采用VIRTEX-II芯片。实现adc数据采样,平均,通道选择,采样时钟选择,数据格式调整,内含fifo,uart等模块。-ISE7.1, using VIRTEX-II chip. Adc realize data sampling, on average, channel selection, the sampling clock select, adjust data formats, including fifo,
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:8431616
    • 提供者:杨奋燕
  1. wannianlidesheji

    0下载:
  2. 实用数字万年历设计:该系统的设计电路是以 AT89S52 单片机为核心控制器,其外围电路主要包括时钟模块,键盘模块,液晶模块, 闹钟模块和与PC 机通信模块等。这种电子时钟不仅具有了一般电子时钟的基本功能,并且具有以下功能:闹 钟时间设置,闹钟音乐选择,显示年月日与星期,显示农历,通过PC 机在Internet 上同步时间,与PC 通信时 PC 机上会显示友好界面等一系列功能。整个系统使用单片机C51 语言进行编程,PC 机
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-09
    • 文件大小:516096
    • 提供者:禹佳发
  1. system_clock

    0下载:
  2. 这是msp430f449单片机系统时钟源控制和选择的控制方式源代码,可以选择片外高速晶振,片外标准时钟晶振,片内RC振荡器三个时钟源-This is the MSP430F449 single-chip system clock source control and choice of source code control, you can choose high-speed chip oscillator, standards-ch
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-09
    • 文件大小:14336
    • 提供者:廖芳宇
  1. Timer

    0下载:
  2. 一用1602显示的单片机时钟程序,通过按键选择可以显示时间,日期,星期。-A display with 1602 single-chip clock procedures, through the selection button can display time, date, week.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-09
    • 文件大小:65536
    • 提供者:难民
  1. clock

    0下载:
  2. 电子闹钟 clk: 标准时钟信号,本例中,其频率为4Hz; clk_1k: 产生闹铃音、报时音的时钟信号,本例中其频率为1024Hz; mode: 功能控制信号; 为0:计时功能; 为1:闹钟功能; 为2:手动校时功能; turn: 接按键,在手动校时功能时,选择是调整小时,还是分钟; 若长时间按住该键,还可使秒信号清零,用于精确调时; change: 接按键,手动调整时,每按一次,计数器加1; 如
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-09
    • 文件大小:2048
    • 提供者:阿飞
  1. jtd

    0下载:
  2. 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的1KHz 时钟,黄灯闪烁时钟要求为2Hz,七段码管的时间显示为1Hz 脉冲,即每1s 中递减一次,在显示时间小于3 秒的时候,通车方向的黄灯以2Hz的频率闪烁。系统中用S1 按键进行复位。-To complete the tasks in this experiment is to design a simp
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:41984
    • 提供者:卢陶
  1. clock

    0下载:
  2. 电子时钟功能与使用 该电子钟由于使用12MHZ晶振,故而能获得较高精度的时间。它能同时显小时,分钟和秒。设有两个按键:A和B键。A键用于选择要校正的目标,是小时,分钟还是秒,按第一下时,选择秒,按第二下则选分钟,第三下则选小时,第四下则退出校时,第五下又选秒,如此循环,可选择校时或退出校时。B键每按一次,所选对象就会加1,比如,已选择分钟,那么每按一下此键,分钟数目就会加上1。 -Electronic clock functio
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-09
    • 文件大小:57344
    • 提供者:yu
  1. alarmclock

    0下载:
  2. 本代码是一个闹钟,有模拟时钟的功能,时钟的外观随颜色变化,有四个闹铃可供选择,代码通俗易懂-This code is an alarm clock, simulation clock function, the clock s appearance with the color changes, there are four alarm options are available, code straightaway
  3. 所属分类:界面编程

    • 发布日期:2024-06-09
    • 文件大小:8098816
    • 提供者:中国大哥
  1. SYS_CLK_SEL

    0下载:
  2. c8051F340系统时钟选择文件,为MCU选择合适的系统时钟。-c8051F340 system clock select a file, select the appropriate system for the MCU clock.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:wenshaoxi
  1. main

    0下载:
  2. 基于CC2530的程序,目的是为了控制系统时钟源的选择-CC2530-based program, designed to control the system clock source selection
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-09
    • 文件大小:2048
    • 提供者:cheb
  1. pin-lv-ji

    0下载:
  2. 设计的是一个数字频率计,通过八个七段数码管显示频率值。系统时钟选择的50M的时钟,闸门时间为1s(通过对系统时钟进行分频得到),在闸门为高电平期间,对输入的频率进行计数,当闸门变低的时候,记录当前的频率值,并将频率计数器清零,频率的显示每过2秒刷新一次。被测频率通过一个拨动开关来选择是使用系统中的数字时钟源模块的时钟信号还是从外部通过系统的输入输出模块的输入端输入一个数字信号进行频率测量。当拨动开关为高电平时,测量从外部输入的数字信号,
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:677888
    • 提供者:刘渝
  1. exp12

    0下载:
  2. 本实验要完成的任务就是设计一个频率计,系统时钟选择核心板上的50MHz的时钟,闸门时间为1s(通过对系统时钟进行分频得到),在闸门为高电平期间,对输入的频率进行计数,当闸门变低的时候,记录当前的频率值,并将频率计数器清零,频率的显示每过2秒刷新一次。被测频率通过一个拨动开关来选择是使用系统中的数字时钟源模块的时钟信号还是从外部通过系统的输入输出模块的输入端输入一个数字信号进行频率测量。当拨动开关为高电平时,测量系统数字时钟信号模块的数字
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:1021952
    • 提供者:真三战魂
  1. clock_sel

    0下载:
  2. 无毛刺多时钟选择,可根据不同模式选择不同时钟(Multi clock selection, different modes can be selected according to different clock)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:16373760
    • 提供者:xiaoqiang
  1. TimePickerDialog-master

    0下载:
  2. 时间的选择弹出对话,选择当前的时间,选中的标记(Select the pop-up dialogue time, select the current time, the selected marker)
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-06-09
    • 文件大小:4570112
    • 提供者:leeMaker
  1. FPGA_实时时钟设计

    0下载:
  2. 通过配置DS1302芯片来实现实时时钟的监测,我们通过通过控制2个按键来选择我们要在数码管上显示的时间,按下按键1我们来显示周几,按下按键2来显示年月日,不按显示时分秒,这样显示复合我们的数字表的显示(By configuring DS1302 chip to monitor the real-time clock, we select the time that we want to display on the digital tu
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:356352
    • 提供者:硅渣渣
« 12 3 4 5 6 7 8 9 10 ... 17 »

源码中国 www.ymcn.org