搜索资源列表

  1. Intelligentbuaa

    0下载:
  2. 自动控制理论是人类在了解自然和改造自然的过程中逐渐形成的一门学科。简单反馈控制的最早应用,可以追溯到古代亚历山大时期的克泰希比斯水钟,它就是利用反馈原理来调节流量的。19世纪中叶,J.C.麦克斯威尔对具有调速器的蒸汽机(这也利用了反馈原理来调速)系统进行了稳定性的研究。20世纪20年代,布莱克、奈奎斯特和波德在贝尔实验室的一系列研究工作奠定了经典自动控制(反馈)的理论基础------ -automatic control theor
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1196827
    • 提供者:跃进
  1. zklljc

    0下载:
  2. 本课件是《自动控制理论基础》这门课程本人多年教学经验的积累,有很高的参考实用价值,属于自动控制理论的精品教学课件。-the courseware is "based automatic control theory" This course my years of teaching experience accumulation, a high reference value, automatic control t
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:2171898
    • 提供者:赵虎
  1. Door_Control

    0下载:
  2. 自动门控制的Verilog程序,可以下载到FPGA上运行,实现对门开门、关门的自动控制。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:87427
    • 提供者:魏松
  1. 16c57c

    1下载:
  2. 遥控车库门自动控制系统,用于家庭车库门的控制。单片机采用MICROCHIP公司的PIC16C57。可以供有兴趣的通知学习使用。
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:1779
    • 提供者:sichuang
  1. Intelligentbuaa

    0下载:
  2. 自动控制理论是人类在了解自然和改造自然的过程中逐渐形成的一门学科。简单反馈控制的最早应用,可以追溯到古代亚历山大时期的克泰希比斯水钟,它就是利用反馈原理来调节流量的。19世纪中叶,J.C.麦克斯威尔对具有调速器的蒸汽机(这也利用了反馈原理来调速)系统进行了稳定性的研究。20世纪20年代,布莱克、奈奎斯特和波德在贝尔实验室的一系列研究工作奠定了经典自动控制(反馈)的理论基础------ -automatic control theor
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-06-17
    • 文件大小:1197056
    • 提供者:跃进
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:44032
    • 提供者:senkong
  1. zklljc

    0下载:
  2. 本课件是《自动控制理论基础》这门课程本人多年教学经验的积累,有很高的参考实用价值,属于自动控制理论的精品教学课件。-the courseware is "based automatic control theory" This course my years of teaching experience accumulation, a high reference value, automatic control t
  3. 所属分类:电子书籍

    • 发布日期:2024-06-17
    • 文件大小:2171904
    • 提供者:赵虎
  1. 6FloorLift

    0下载:
  2. 设计一个6层电梯控制器。电梯控制器是按照乘客的要求自动上、下的装置。 1、每层电梯入口处设置上下请求开关,电梯内设有顾客到达层次的停站请求开关。 2、设有电梯所处位置指示装置以及电梯运行模式(上升或者下降)指示装置。 3、电梯每秒升降一层楼。 4、电梯到达有停站请求的楼层,经过1秒电梯门打开,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。 5、电梯能记忆电梯内外所有请
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:2048
    • 提供者:zheng
  1. Door_Control

    0下载:
  2. 自动门控制的Verilog程序,可以下载到FPGA上运行,实现对门开门、关门的自动控制。-Verilog automatic door control procedures, can be downloaded to the FPGA to run, realize door open the door, close the automatic control.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:87040
    • 提供者:魏松
  1. elev

    0下载:
  2. Verilog 电梯控制器设计 设计一个八层楼房自动电梯控制器,用八个 LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮。 用 CLK脉冲控制电梯运动,每来一个 CLK脉冲电梯升(降)一层。电梯到达有请求的楼层后,该层次的指示灯灭,电梯门打开(开门指示灯亮),开门 5 秒后,电梯门自动关闭,电梯继续运行。 控制电路应能记忆所有楼层请求信
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-17
    • 文件大小:76800
    • 提供者:Fly
  1. bysj3

    0下载:
  2. 结合三年来在我院学习的课程和实践练习内容,要求学员为天津制药厂设计一套门禁控制系统。该系统包括两个更衣室四个门的门禁控制。每个更衣室有两个门,具体要求如下。 􀁺 同一更衣室的两个门不能同时开; 􀁺 不同更衣室的门不相关; 􀁺 每个门设传感器和电磁锁,人到门口时,门根据控制要求自动开启电磁 锁并显示门已开启的信号。人通过后,门自动关闭; 􀁺 要求系统在停电
  3. 所属分类:其他小程序

    • 发布日期:2024-06-17
    • 文件大小:369664
    • 提供者:guole
  1. dt-VHDL

    0下载:
  2. 电梯控制器的功能   本电梯控制器分为主控制器和分控制器。主控制器是电梯内部的控制器,每个楼层有一个分控制器。主控制器的功能:   (1)完成16个楼层多用户的载客服务控制。   (2)电梯运行时显示电梯的运行方向和所在的楼层。   (3)当电梯到达选择的楼层时,电梯自动开门。   (4)具有提前关电梯门和延时关电梯门的功能。   (5)响应分控制器的有效请求,如果到达有请求的楼层,电梯自动开门。    分控
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:163840
    • 提供者:que
  1. lift_code_verilog

    1下载:
  2. 实现一个4层楼的单电梯控制系统。门可以自动开关也可以手动开关。代码可综合,无多驱动现象。-Realize a 4-story single-elevator control system. Door can automatically switch can also manually switch. Code can be integrated, no more than drive the phenomenon.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:3072
    • 提供者:幻婳
  1. 200712416544376517

    0下载:
  2. 自制红外线自动门控制器电路,市场上成品的自动门控制及执行机构价格普遍偏高,文中介绍的方法适合家庭自制使用,用到的元器件都很容易找到,有兴趣者不仿一试。-Self-made infrared automatic door controller circuit, the market finished the automatic door control and enforcement agencies generally high pri
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-17
    • 文件大小:69632
    • 提供者:xiongwei
  1. 2

    0下载:
  2. 设计一个6层楼房自动电梯控制器,用6个 LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮。 用 CLK脉冲控制电梯运动,每来一个 CLK脉冲电梯升(降)一层。电梯到达有请求的楼层后,该层次的指示灯灭,电梯门打开(开门指示灯亮),开门 5 秒后,电梯门自动关闭,电梯继续运行。 控制电路应能记忆所有楼层请求信号,并按如下运行规则依次相应:运行过程
  3. 所属分类:其他小程序

    • 发布日期:2024-06-17
    • 文件大小:2048
    • 提供者:one song
  1. zdmkzxtdsjjqstrjdkf

    0下载:
  2. 已单片机为核心的自动门控制系统,该设计硬件简单,软件功能完善,是比较合适的新型系统。-Single-chip microcomputer as the core has an automatic door control systems, the design simple hardware and software functions is more appropriate to the new system.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-17
    • 文件大小:3762176
    • 提供者:张涛涛
  1. matlabcontrol

    0下载:
  2. 几个控制理论的小程序,可以方便学习自动控制理论这门课-Can easily end the camera to the image transmitted matlab program for the software called.
  3. 所属分类:Windows编程

    • 发布日期:2024-06-17
    • 文件大小:4096
    • 提供者:陈浩
  1. AGC

    0下载:
  2. 在实际系统中,由于发端功率和信道增益的变化会引起接收到的信号幅度的变化,这种变化是设计者所不希望的,因此,有必要对信号幅度进行自动增益控制(AGC)。另外,在解调器内部所有同步完成之后,如果解调输出为软输出,则需要对输出信号进行定标,以使较少的位数能够全面地反映解调数据的信息,这被称为定标AGC。AGC的实现原理大同小异,一般都是将信号幅度(能量)与固定门限比较,高于或低于门限的信息被送到调整环路滤波器,滤波器的输出用于控制可控增益放大
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:1024
    • 提供者:开水来了
  1. 11

    0下载:
  2. 自动控制门 自动控制门 -Automatic door control Automatic door control Automatic door control Automatic door control
  3. 所属分类:汇编语言

    • 发布日期:2024-06-17
    • 文件大小:1024
    • 提供者:sundongwei
  1. 门控制2

    0下载:
  2. 控制步进电机正反转,从而实现控制门的开和关,电机正转开门 反转关门(Control stepper motor positive and negative)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-17
    • 文件大小:54272
    • 提供者:aiying0326
« 12 3 »

源码中国 www.ymcn.org