搜索资源列表

  1. DiSturb_Code

    0下载:
  2. Matlab加扰解扰仿真系统,可以观察加解扰前后信号的时域和频域波形,有助于理解扰码的概念和解扰的过程-scrambling and de-scrambling Matlab simulation system can be observed around Deinterference signals in time domain and frequency domain waveforms scrambler help underst
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:6450
    • 提供者:李向坤
  1. xinyi

    0下载:
  2. ·Matlab加扰解扰仿真系统,可以观察加解扰前后信号的时域和频域波形,有助于理解扰码的概念和解扰的过程-scrambling and de-scrambling Matlab simulation system Encryption can be observed around the signals in time domain and frequency domain waveforms scrambler help unders
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:7128
    • 提供者:庞俊杰
  1. UsingMatLabsimulationcommunicationprincpleseriesof

    0下载:
  2. 本毕业设计用Matlab中的建模仿真工具Simulink对通信原理实验进行仿真。作为系列实验的第一部分,包括模拟信号的线性调制解调(AM、DSB、SSB)过程、扰码与解扰实验和低通信号的抽样定理实验。论文中讲述了Matlab的基础知识、Simulink仿真操作方法以及在通信系统中的应用,对被仿真实验的基本原理也进行了简要介绍。 通过本设计对构造通信原理虚拟实验室这一课题进行了初步的探索。-design with the graduati
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:242589
    • 提供者:aaaaa
  1. SC-DSC

    0下载:
  2. 数字通信系统的设计及其性能和所传输的数字信号的统计特性有关。所谓 加扰技术,就是不增加多余度而扰乱信号,改变数字信号的统计特性,使其近 似于白噪声统计特性的一种技术。这种技术的基础是建立在反馈移位寄存器序 列(伪随机序列)理论之上的。解扰是加扰的逆过程,恢复原始的数字信号。 如果数字信号具有周期性,则信号频谱为离散的谱线,由于电路的非线 性,在多路通信系统中,这些谱线对相邻信道的信号造成串扰。而短周期信号 经过扰码器后,周期序列变长,谱
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:113625
    • 提供者:葛岭泉
  1. DiSturb_Code

    0下载:
  2. Matlab加扰解扰仿真系统,可以观察加解扰前后信号的时域和频域波形,有助于理解扰码的概念和解扰的过程-scrambling and de-scrambling Matlab simulation system can be observed around Deinterference signals in time domain and frequency domain waveforms scrambler help underst
  3. 所属分类:matlab例程

    • 发布日期:2024-05-24
    • 文件大小:6144
    • 提供者:李向坤
  1. xinyi

    0下载:
  2. ·Matlab加扰解扰仿真系统,可以观察加解扰前后信号的时域和频域波形,有助于理解扰码的概念和解扰的过程-scrambling and de-scrambling Matlab simulation system Encryption can be observed around the signals in time domain and frequency domain waveforms scrambler help unders
  3. 所属分类:matlab例程

    • 发布日期:2024-05-24
    • 文件大小:7168
    • 提供者:
  1. SC-DSC

    0下载:
  2. 数字通信系统的设计及其性能和所传输的数字信号的统计特性有关。所谓 加扰技术,就是不增加多余度而扰乱信号,改变数字信号的统计特性,使其近 似于白噪声统计特性的一种技术。这种技术的基础是建立在反馈移位寄存器序 列(伪随机序列)理论之上的。解扰是加扰的逆过程,恢复原始的数字信号。 如果数字信号具有周期性,则信号频谱为离散的谱线,由于电路的非线 性,在多路通信系统中,这些谱线对相邻信道的信号造成串扰。而短周期信号 经过扰码器后,周期序列变长,谱
  3. 所属分类:其他小程序

    • 发布日期:2024-05-24
    • 文件大小:113664
    • 提供者:葛岭泉
  1. verilogzzhwfy

    1下载:
  2. 用Verilog实现QPSK中的差分,扰码,串并,解差分,解扰码,解串并,用MUXPLUS2进行仿真-QPSK with Verilog realize the difference, code, and serial, Xie difference, encryption codes, and solutions Series, The simulation used MUXPLUS2
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-24
    • 文件大小:5120
    • 提供者:周正华
  1. csa

    0下载:
  2. CSA() 加扰解扰算法(DVB-C中用到)。内有实现源码-CSA () Descrambling scrambling algorithm (DVB-C used). There realize source
  3. 所属分类:CA认证

    • 发布日期:2024-05-24
    • 文件大小:528384
    • 提供者:keshine
  1. CPLD_raoma

    0下载:
  2. 基于CPLD的扰码与解扰码器的设计,扰码用M序列实现,m序列级数和频率可选-CPLD based on the scrambling code and Descrambling codec design, scrambling code sequence with M realize, m sequence of series and frequency optional
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-24
    • 文件大小:39936
    • 提供者:梁奔山
  1. MatLabSimulink

    0下载:
  2. 在Matlab下用Simulink进行通信仿真,模拟线性调制,扰码与解扰,低通信号的抽样定理设计 -In Matlab using Simulink for communication simulation, simulation of linear modulation, scrambling and descrambling, low-signal design of the sampling theorem
  3. 所属分类:matlab例程

    • 发布日期:2024-05-24
    • 文件大小:242688
    • 提供者:yew
  1. SignalProcess

    0下载:
  2. C 程序 球面方位角算法: azimuth.cpp CRC校验快速算法: CRC_Table.cpp 解扰码算法: scrambler.cpp Matlab 程序 信号带宽测量: BandWith.m 带通信号下变频: fqmv.m QPSK 解调 demodQpsk.m pwelch求功率谱的算法 psdwh.m 均值滤波算法 cvmn.m 信号正交分解: Cnv2Bas.m-C
  3. 所属分类:matlab例程

    • 发布日期:2024-05-24
    • 文件大小:5120
    • 提供者:HANYUFEI
  1. scrambler

    0下载:
  2. 一个x^43+1解扰的函数,以前的师兄留下的,希望有人能用得上。-a descrambler about x^43+1.
  3. 所属分类:Windows编程

    • 发布日期:2024-05-24
    • 文件大小:1024
    • 提供者:高原红
  1. descramble

    0下载:
  2. CDMA2000,解扰,输入768个信息比特,经过带有用户掩码的长码解扰后,输出768个信息比特-CDMA2000,descramb
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-24
    • 文件大小:156672
    • 提供者:alice
  1. mxuliejiarao

    0下载:
  2. 产生一m序列,其特征多相式:1+x^3+x^5,加扰序列c(k) 满足c(k)=a(k)+c(k-3)+c(k-5),对m序列进行加解扰-Create a m sequence is characterized by multi-phase type: 1+ x ^ 3+ x ^ 5, scrambling sequence c (k) to meet the c (k) = a (k)+ c (k-3)+ c (k-5), the
  3. 所属分类:matlab例程

    • 发布日期:2024-05-24
    • 文件大小:1024
    • 提供者:xlmm
  1. LTE_Scramble_GenSeq

    0下载:
  2. 用于LTE的扰码,解扰程序,用于LTE仿真链路-For LTE, scrambling, descrambling program for LTE simulation link
  3. 所属分类:3G开发

    • 发布日期:2024-05-24
    • 文件大小:1024
    • 提供者:bennytang
  1. x43+1dec

    0下载:
  2. 简单实现X^43+1 多项式加扰,解扰算法,已验证(the algorithim of X^43+1 scambler and descambler)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-24
    • 文件大小:1024
    • 提供者:田雨
  1. 加扰器解扰器设计

    0下载:
  2. 加扰器解扰器设计,组合逻辑电路可以选用下述不同的逻辑类型来实现:互补CMOS结构、有比电路、差 分共源-共栅电压开关逻辑(DCVSL),传输门逻辑、互补传输晶体管逻辑(CPL)或动态电 路结构,也可以是以上不同类型结构的混合。(Scrambler/ descrambler design)
  3. 所属分类:其他小程序

  1. 自抗扰控制模型及资料

    1下载:
  2. 自己整理的自抗扰控制资料,包括MATLAB仿真模型、S函数、C语言、核心论文、模型搭建详解文档等。(Self-organized ADRC data, including MATLAB simulation model, S function, C language, core papers, model building detailed documentation, etc.)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-24
    • 文件大小:14822400
    • 提供者:alphaalgorithm
  1. 杨卫平

    0下载:
  2. 运用矩阵算法设计了8 bit 并行ATM 扰码(解扰)器, 大大降低了电路的处理速度。提出了一 种新的信头误码校正(HEC)并行算法, 能检测到多个比特错误, 并能纠正单比特错误
  3. 所属分类:其它文档

    • 发布日期:2019-11-09
    • 文件大小:159795
    • 提供者:shandongtou
« 12 3 4 5 »

源码中国 www.ymcn.org