搜索资源列表

  1. DDS-peripheral-circuit-design-

    0下载:
  2. Based on the AD9854 DDS peripheral circuit design and procedures
  3. 所属分类:软件工程

    • 发布日期:2024-05-17
    • 文件大小:278528
    • 提供者:lisifan
  1. DDS

    0下载:
  2. 本代码可以实现基于FPGA的DDS系统设计,实现效果好-This code can be realized based on FPGA DDS system design, implementation effect is good
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:7999488
    • 提供者:刘玉媛
  1. dds

    0下载:
  2. 这是本人在学校做的一个DDS信号发生器,频率相位可调。输入时钟50Mhz-DDS phase frequency adjustable Verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:2437120
    • 提供者:wen show
  1. DDS

    0下载:
  2. Verilog实现DDS线性调频,Verilog实现DDS线性调频-Verilog implementation of DDS linear FM,Verilog implementation of DDS linear FM
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:youyou
  1. DDS

    0下载:
  2. STM32驱动AD9850产生方波正弦波- STM driver DDS program
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:315392
    • 提供者:binkc
  1. dds

    0下载:
  2. 51单片机DDS函数信号发生器 12864显示频率-51 SCM dds signal generator
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:57344
    • 提供者:chen
  1. DDS

    0下载:
  2. 基于DDS算法的正余弦信号发生器的FPGA实现-Based on DDS Algorithm cosine signal generator FPGA
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:5120
    • 提供者:孙琳
  1. 430-dds

    0下载:
  2. 430驱动的DDS模块,包括AD9851和AD9850,程序已经调试成功。-DDS module based on msp430 driver
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:31744
    • 提供者:陈翔
  1. DDS

    0下载:
  2. FPGA基于FPGA的DDS设计verilog程序-FPGA DDS project verilog procedure
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:10240
    • 提供者:吴汉
  1. dds

    0下载:
  2. 已实际测试 FPGA 实现 DDS程序-FPGA DDS
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:2106368
    • 提供者:熊小卡
  1. dds

    0下载:
  2. 基于FPGA的DDS程序代码,实现的功能强大可以输正弦波,三角波,方波等波形,并且频率可以调节。实现对应的功能强大。-FPGA-based DDS program code can achieve powerful output sine wave, triangle wave, square wave waveform and frequency can be adjusted. Implement corresponding pow
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:290816
    • 提供者:黄兴
  1. DDS

    0下载:
  2. DDS 建模法,即动态数据系统建模法,是一种适合于工程应用的系统建模方案。-DDS modeling method, namely, Dynamic Data System modeling method, the Dynamic Data System, is a kind of suitable for the project
  3. 所属分类:matlab例程

    • 发布日期:2024-05-17
    • 文件大小:5120
    • 提供者:陈奇
  1. DDS

    0下载:
  2. FPGA实现三通道DDS信号源Verliog程序-FPGA to achieve three-channel DDS signal source Verilog program
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:9389056
    • 提供者:果粒橙
  1. dds

    0下载:
  2. 这是一个基于FPGA设计的DDS信号发生器设计。能够生成正弦波\ASK\PSK\AM\FM等波形。-This is an FPGA design of DDS signal generator based on. Capable of generating sine \ASK\PSK\AM\FM and other waveforms.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1008640
    • 提供者:张良
  1. DDS

    0下载:
  2. DDS模型图片查看器。 DDS模型图片查看器。-DDS model image viewer. DDS model image viewer.
  3. 所属分类:Internet/网络编程

    • 发布日期:2024-05-17
    • 文件大小:4096
    • 提供者:zhangq
  1. DDS-generator

    0下载:
  2. DDS function generator AD9851 and AVR witch source code.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-17
    • 文件大小:493568
    • 提供者:rukzak
  1. DDS

    0下载:
  2. 基于DDS原理的频率信号产生方法正弦信号余弦信号-Based on the principle of DDS frequency signal generating method sinusoidal signal cosine signal
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:刘介园
  1. dds

    0下载:
  2. 基于DDS和SOPC的谐波信号发射器,拥有可调节的频率,阶段和谐波比例的谐波信号发射器由本文所设计。-Based on DDS and SOPC harmonic signal transmitter, with adjustable frequency, phase and harmonic proportion of harmonic signal transmitter designed by this article.
  3. 所属分类:软件工程

    • 发布日期:2024-05-17
    • 文件大小:14336
    • 提供者:翟如梦
  1. dds

    0下载:
  2. DDS signal generator, the compression bag is a project, a full set of DDS signal generator, contains the mif file and so on
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:11829248
    • 提供者:d232
  1. dds-wave-

    0下载:
  2. 一种函数信号发生器,含protues仿真测试-a dds wave generater contain protues simulation
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-17
    • 文件大小:123904
    • 提供者:LIU WEI
« 1 2 ... 43 44 45 46 47 4849 50 »

源码中国 www.ymcn.org