搜索资源列表

  1. Verilog_led

    0下载:
  2. DE2实验开发板的将32位数据转换为八个七段译码并显示-Experimental DE2 development board will be 32-bit data is converted to the eight and seventh decoding and display
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:王超奇
  1. sopc_led

    0下载:
  2. de2板上的led显示程序,最简单的nios测试程序,可以实现de2板上的两个小灯的闪烁,用quatus ii定置sopc系统!-de2 board led display program, the simplest nios test procedures can be achieved in two de2 board flashing lights, and quatus ii set sopc system!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:5255168
    • 提供者:夏英杰
  1. FPGADSPBuilder

    0下载:
  2. DE2平台应用及DSPBUILDER技术,是altera杯上海交大电子设计竞赛内部材料,内含详细设计原理及源代码-DE2 platform and DSP BUILDER technology, Shanghai Jiaotong University altera Cup Electronic Design Contest of internal materials, including the principle of the de
  3. 所属分类:DSP编程

    • 发布日期:2024-05-14
    • 文件大小:3059712
    • 提供者:lucy
  1. ISP1362DataSheet

    0下载:
  2. USB interface chip in de2
  3. 所属分类:USB编程

    • 发布日期:2024-05-14
    • 文件大小:483328
    • 提供者:NguyenViet
  1. DE2_NIOS_HOST_MOUSE_VGA_short

    0下载:
  2. 利用QUARTUS 和NOISE开发环境,在DE2开发板上开发的usb鼠标驱动,实现鼠标控制VGA的显示的功能-based on QUARTUS and NOISE using DE2 board,a program (using usb mouse control VGA )
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:3299328
    • 提供者:zoulu
  1. TYTU

    0下载:
  2. 使用Quartus II軟體,配合Altera DE2的版子來實現步進馬達的正反轉、停止和失步現象的展現-The use of Quartus II software, Altera DE2 the version with the son of the stepper motor to achieve positive, stop and show the phenomenon of out-of-step
  3. 所属分类:软件工程

    • 发布日期:2024-05-14
    • 文件大小:59392
    • 提供者:吳員外
  1. ss

    0下载:
  2. DE2开发板 sopc开发例程 友经科技提供-DE2 development board sopc the development of science and technology provided by the Friends of routine
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:2324480
    • 提供者:xinzhi
  1. De2

    0下载:
  2. 简单地加密软件,快捷方便,用于加密解密,而且可以不删除原文件名-simply encrpytion software
  3. 所属分类:加密解密

    • 发布日期:2024-05-14
    • 文件大小:655360
    • 提供者:天涯
  1. NiosII_CycloneII_R01

    0下载:
  2. DE2 pcb ,open it use pads layout
  3. 所属分类:行业发展研究

    • 发布日期:2024-05-14
    • 文件大小:816128
    • 提供者:wen
  1. DE2_70_TV

    0下载:
  2. --- --- --- -Verilog--- --- ---- This design converts DVD video into a format suitable for display on a CRT/LCD monitor. A DVD video source, such as a DVD player, should be connected to the VIDEO IN port on the DE2-70
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:168960
    • 提供者:Sami
  1. DE2_LCM_CCD

    0下载:
  2. DE2上的基于FPGA的视频开发资料第1部分-DE2 video(part one)
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2024-05-14
    • 文件大小:396288
    • 提供者:刘志文
  1. DE2_LCM_TV_NTSC

    0下载:
  2. DE2上的基于FPGA视频开发资料第二部分-DE2 video
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:269312
    • 提供者:刘志文
  1. DE2_LCM_TV_PAL

    0下载:
  2. DE2上的基于FPGA视频开发资料第3部分-DE2 video
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:269312
    • 提供者:刘志文
  1. DE2_LCM_TV_Simple

    0下载:
  2. DE2上的基于FPGA视频开发资料第4部分-DE2 video
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:155648
    • 提供者:刘志文
  1. DE2_Demonstrations

    0下载:
  2. altera DE2开发板相关应用资源,里面包含许多相关的模块的现成vhdl程序-DE2_Demonstrations of altera
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-14
    • 文件大小:3447808
    • 提供者:王昆
  1. DDS

    0下载:
  2. 用DE2开发板做的DDS程序,频率分辨率可以达到1Hz!-DE2 development board to do with the DDS process, the frequency resolution can be achieved 1Hz!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1059840
    • 提供者:liukai
  1. DE2_SD_Card_Audio

    0下载:
  2. DE2板子上的音频开发,实现sd卡中存储的音乐播放-de2_sd_card
  3. 所属分类:Audio

    • 发布日期:2024-05-14
    • 文件大小:20828160
    • 提供者:licheng
  1. DE2_SD_Card_Audio(Modified)

    0下载:
  2. 在DE2开发板上实现的SD卡mp3音乐播放器。硬件部分用Verilog语言编写,在Quartus上编译;软件部分用C语言编写,在Nios2上编译运行。-DE2 development board in the realization of the SD card mp3 music player. Hardware using Verilog language, compiled in the Quartus software wit
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:3018752
    • 提供者:符玉襄
  1. DE2_NIOS_HOST_MOUSE_VGA

    0下载:
  2. 在DE2开发板上实现的VGA输出游戏。硬件用Verilog语言编写,在Quartus上编译;软件用C语言编写,在Nios2上编译运行。把DE2板和显示器键盘连起来即可使用。-Development in the DE2 board game to achieve the VGA output. Hardware using Verilog language, compiled in the Quartus software with
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1627136
    • 提供者:符玉襄
  1. DE2_NET

    0下载:
  2. 用DE2开发板实现的网络控制器。硬件用Verilog语言编写,在Quartus上编译;软件用C语言编写,在Nios2上编译运行。程序已经过测试,功能完好。-DE2 development board with the realization of the network controller. Hardware using Verilog language, compiled in the Quartus software with
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1601536
    • 提供者:符玉襄
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »

源码中国 www.ymcn.org