搜索资源列表

  1. vh

    0下载:
  2. 有用的VHDL源代码-useful VHDL source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:590848
    • 提供者:王新
  1. PIC_Base_C_1

    0下载:
  2. 在HITECH和CCS环境下设置I/O口的C语言样例-Introducing HI-TECH C PRO, HI-TECH PICC-Lite and CCS PCB Digital I/O, debouncing, and using weak pull-ups on baseline PICs
  3. 所属分类:DSP编程

    • 发布日期:2024-06-08
    • 文件大小:16384
    • 提供者:胡俊华
  1. PIC_Base_C_2

    0下载:
  2. PIC的资源,TIME0的设置使用C 语言样例-Configuring and accessing Timer0 Using Timer0 for debouncing, background tasks, event timing and counting
  3. 所属分类:Windows编程

    • 发布日期:2024-06-08
    • 文件大小:20480
    • 提供者:胡俊华
  1. coe3dq4_lab1_2009

    0下载:
  2. Verilog HDL键盘消抖程序,Verilog HDL键盘消抖程序-debouncing code in Verilog HDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:119808
    • 提供者:
  1. walkthrough1

    0下载:
  2. switching the lights debouncing , toggle
  3. 所属分类:Windows编程

    • 发布日期:2024-06-08
    • 文件大小:13312
    • 提供者:Ruth
  1. hw5

    0下载:
  2. Design a 2-digit stopwatch that ticks every second. A switch is used to start and stop the time. When the switch is pushed, the time will start and when it is pushed again, the time will stop. In order for the switch to
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:1369088
    • 提供者:vinay
  1. Debounce

    0下载:
  2. VHDL编写。在CPLK开发板上设计的数字钟的去抖动电路。该模块相对独立,是学习去抖动的好资料。该模块跟我其它的8个模块配套构成一个数字钟。-Programmed with VHDL.A debouncing circuit which is part of a digital clock designed on a CPLD development board.The module is independent from others
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:199680
    • 提供者:chzhsen
  1. debounce1

    0下载:
  2. Debouncing Circuit implementing the Testing Circuit show in the Illustration 1. The input of verification is from a push button switch. In the lower part, the signal is first fed to a debouncing circuit and the to a
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:922624
    • 提供者:StevenChung
  1. clockbuffer

    0下载:
  2. Code for debouncing push buttons
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:1024
    • 提供者:Cian
  1. debounce_keys

    0下载:
  2. Descr iption: Demonstrates debouncing 8 keys. The state of the eight keys is sampled four times using a timer interrupt. If a key is pressed longer than four seconds, the corresponding bit in the key_press globa
  3. 所属分类:其他小程序

    • 发布日期:2024-06-08
    • 文件大小:2048
    • 提供者:abdulmajeed
  1. KEY_qudou

    0下载:
  2. 电子产品世界网站的一个FPGA DIY的一个项目,这个是键盘去抖的一个实例源码!-Electronic Products World website of a FPGA DIY project, this is an instance of the source code of the keyboard debouncing!
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:497664
    • 提供者:吴斌
  1. lesson_3_buttonDebouncing

    0下载:
  2. MICROCONTROLLER. Button debouncing CodeVision project for AVR on C
  3. 所属分类:其他小程序

  1. buttons

    0下载:
  2. This is a generic button manager c code for embedded development. It will detect several behaviors like short and long presses, and will also do debouncing.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-08
    • 文件大小:1024
    • 提供者:chileguy
  1. debounce

    0下载:
  2. solve debouncing problem on LPC2292
  3. 所属分类:汇编语言

    • 发布日期:2024-06-08
    • 文件大小:5120
    • 提供者:crazyjunior
  1. delay(systick)

    0下载:
  2. stm 32 滴答定时器消抖 按键 C文件-stm 32 Debouncing timer tick button C file
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-08
    • 文件大小:2048
    • 提供者:Sam
  1. sw_debouncing

    0下载:
  2. PIC code for Switch debouncing for PIC18F4520
  3. 所属分类:其他小程序

    • 发布日期:2024-06-08
    • 文件大小:1024
    • 提供者:Azam
  1. DEBOUNCING

    0下载:
  2. 设计到键盘按键的消抖程序功能实现只有一个压缩包哦-Program features designed to eliminate jitter keyboard keys to achieve
  3. 所属分类:其他小程序

    • 发布日期:2024-06-08
    • 文件大小:1024
    • 提供者:pengxiang
  1. debouncer

    0下载:
  2. debouncing the input switch for protect the fluctuation
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:11264
    • 提供者:rahulshandilya1
  1. debouncing

    0下载:
  2. you can use debouncing circuit
  3. 所属分类:其他小程序

    • 发布日期:2024-06-08
    • 文件大小:319488
    • 提供者:jonadan

源码中国 www.ymcn.org