搜索资源列表

  1. v0.8b

    0下载:
  2. GE数据转换器ggfgfd gdf gdf-data converters ggfgfdgfdgdfgdfgdfgdfgdf
  3. 所属分类:其他小程序

    • 发布日期:2024-05-21
    • 文件大小:63488
    • 提供者:guase
  1. vhdl

    0下载:
  2. 基于MAXPLUS II 的软件设计,这里面有几个小程序,用于VHDL的GDF设计,含有LED数码管的显示驱动程序,还有3选一,十选一程序。-II FPGA-based design software, there are several small procedures, GDF for VHDL design with a digital LED display driver of the procedures, there is
  3. 所属分类:其他小程序

    • 发布日期:2024-05-21
    • 文件大小:2048
    • 提供者:梁兵
  1. mycpu

    0下载:
  2. Quartus II 5.0下写的一个单总线架构的CPU设计,包括控制器、运算器、译码电路等。模拟的时钟脉冲也给出。已经通过Quartus II 5.0运行。可以给需要设计总线架构CPU的同学一点参考。-Quartus II 5.0 written under a single bus architecture of the CPU design, including controllers, computing devices, su
  3. 所属分类:软件工程

    • 发布日期:2024-05-21
    • 文件大小:800768
    • 提供者:陈佳
  1. simulation_aufgabe1

    0下载:
  2. MATLAB 在数学建模中的应用 LDF,GDF,FDF错误的算法
  3. 所属分类:matlab例程

    • 发布日期:2024-05-21
    • 文件大小:2048
    • 提供者:李贵
  1. MyProject

    0下载:
  2. 3-8译码器的仿真实验。本实验选用的仿真开发软件是MAX+plus II Version 9.3,原理图源文件保存在MyProject目录中,为138decoder.gdf,另有我写的实验报告,呵呵,适合仿真入门-3-8 decoder simulation. Selected in this experiment simulation software is MAX+ Plus II Version 9.3, schematic so
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:224256
    • 提供者:zhang
  1. PLL

    0下载:
  2. PLL是数字锁相环设计源程序, 其中, Fi是输入频率(接收数据), Fo(Q5)是本地输出频率. 目的是从输入数据中提取时钟信号(Q5), 其频率与数据速率一致, 时钟上升沿锁定在数据的上升和下降沿上; 顶层文件是PLL.GDF-Digital phase-locked loop PLL is the design source code, which, Fi is the input frequen
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:126976
    • 提供者:许伟
  1. yu

    0下载:
  2. 格式为GDF,遗传算法在大学排课问题中的应用,对毕业论文有很大的帮助,需要的请下载看吧,需要更多的资料请Q我365191126-Format for the GDF, the genetic algorithm in the University Timetable Problem of theses great help, please download and see the need, the need for more info
  3. 所属分类:文件格式

    • 发布日期:2024-05-21
    • 文件大小:253952
    • 提供者:巫生
  1. vhdl

    0下载:
  2. VHDL编写的例程,一般常见的运用里面都包含了。该程序对于VHDL的前期学习者有很大的帮助-VHDL routines prepared, use common contain it. The program for the early stage of VHDL great help learners
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:251904
    • 提供者:liujingxing
  1. TaxiPriceCounter

    0下载:
  2. 数字逻辑实验作品,在maxplus2下开发的出租车计价器系统(Quartus也可运行),实现基本计价功能,可以设置单价(实验报告中友设置方法),内含实验报告,直接打开taxi_price_counter_final.gdf即可运行-Digital logic experiment works, developed in maxplus2 Taximeter system (Quartus can also be run), the re
  3. 所属分类:DSP编程

    • 发布日期:2024-05-21
    • 文件大小:4012032
    • 提供者:昕明儿
  1. fire

    0下载:
  2. 123 dfgfdg df gf dg fg dfg fd g dfg fd g fdg dfg df g df gdfg df g dfg dfgdf gdf g fd gfd-123
  3. 所属分类:FlashMX源码

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:wangming
  1. 3_3

    0下载:
  2. C语言的数据 解雇偶的变成 关于邻接矩阵-cgcfgfdgdf hdgfh gdf gf
  3. 所属分类:软件工程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者: macle
  1. AMI

    0下载:
  2. 基于maxplus2的AMI编译码,里面是一个gdf格式的文件,打开maxplus2软件再从file中找到open,打开此文件即可。-The AMI codec based on maxplus2
  3. 所属分类:其他小程序

    • 发布日期:2024-05-21
    • 文件大小:2048
    • 提供者:king
  1. GDF4

    0下载:
  2. 所属分类:文档资料

    • 发布日期:2024-05-21
    • 文件大小:2462720
    • 提供者:yaowei
  1. class_jpgraph-2.2

    0下载:
  2. 最近在数据库领域内,出来了一个爆炸性的新闻。有专家提出了NoSQL的开源项目。简单的说,就是他... -dfvdfvdsf dvffds dfg dsgdsf df fdg df gdf df df
  3. 所属分类:外挂编程

    • 发布日期:2024-05-21
    • 文件大小:4605952
    • 提供者:SONG
  1. Filio

    0下载:
  2. 分布式文件快速搜索,开源,转载 gdf-分布式文件快速搜索vsdgfsdfsdfsdddddddddddddddddddddddddddd
  3. 所属分类:C#编程

    • 发布日期:2024-05-21
    • 文件大小:136192
    • 提供者:bobo
  1. shuziluji

    0下载:
  2. 纯VHDL文件 拥有闹铃 整点报时 日历 使用方法(打开文件shizhong.gdf文件编译即可(本人使用maxplus-Pure VHDL files have calendar alarm whole hour to use (you can open the file shizhong.gdf file compilation (I use maxplus))
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:590848
    • 提供者:虫子
  1. xifeng-bianxian

    0下载:
  2. 光栅尺数据采集信号的细分辨向电路,是gdf文件,非常直观方便!-Grating data acquisition signals subdivision circuit is gdf file, very intuitive and convenient
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:6144
    • 提供者:小胡
  1. gdf

    0下载:
  2. 固定分区顺序存储算法,了解操作系统用固定分区的方法进行分配-Fixed partition storage algorithms in order to understand the operating system partition with a fixed allocation method
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:tld
  1. QuadratureCounter

    0下载:
  2. gdf example for Quadrature Encoder Counter
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:5120
    • 提供者:Laskowy
  1. my

    0下载:
  2. 电子技术实验课程一二两个实验源代码tdf和gdf都在文件夹内,适用于入门级VHDL-Electronic Experiment course one hundred twenty-two experimental source code tdf and folder gdf are for entry-level VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:217088
    • 提供者:dong
« 1 23 4 »

源码中国 www.ymcn.org