搜索资源列表

  1. HDB3

    0下载:
  2. 实现HDB3编码,文件清晰,执行效率高。有每条语句的说明,阅读简单。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2750
    • 提供者:王青
  1. hdb3

    0下载:
  2. 在VHDL平台上实现HDB3编码的源程序已调试完
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:1337
    • 提供者:王晓鹏
  1. HDB3编码

    0下载:
  2. 本程序是关于通信原理的HDB3编码及其功率谱密度
  3. 所属分类:源码下载

  1. hdb3 decoder

    0下载:
  2. 我上期做的VHDL设计方案,用于在FPGA或CPLD中实现HDB3的编码-I do view on the VHDL design options for the CPLD or FPGA to achieve HDB3 code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:119808
    • 提供者:王薇
  1. 几种编码方式

    0下载:
  2. A. 产生一个长为1000的二进制随机序列,“0”的概率为0.8,”1”的概率为0.2;B. 对上述数据进行归零AMI编码,脉冲宽度为符号宽度的50%,波形采样率为符号率的8倍,画出前20个符号对应的波形(同时给出前20位信源序列);C. 改用HDB3码,画出前20个符号对应的波形;D. 改用密勒码,画出前20个符号对应的波形;E. 分别对上述1000个符号的波形进行功率谱估计,画出功率谱;F. 改变信源“0”的概率,观察AMI码的功率
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-15
    • 文件大小:59392
    • 提供者:郭慧勤
  1. HDB3

    0下载:
  2. 实现HDB3编码,文件清晰,执行效率高。有每条语句的说明,阅读简单。-Realize HDB3 coding, document clear, the implementation of high efficiency. Each statement has a note, reading simple.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:王青
  1. hdb3

    0下载:
  2. 在VHDL平台上实现HDB3编码的源程序已调试完-In VHDL realize HDB3 encoding platform has been the source debugging End
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:王晓鹏
  1. 12

    0下载:
  2. hdb3编码的 hdb3编码的-HDB3 coding HDB3 encoding
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:zhaoyong
  1. HDB3

    0下载:
  2. 实现HDB3编码,使用VHDL语言,-1用01表示,1用10表示,0用00表示。-The realization of HDB3 encoding, the use of VHDL language, 01 indicated by-1, 1, 10, said that the 0 with 00.
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:273408
    • 提供者:zhangzhen
  1. HDB3

    0下载:
  2. HDB3编码源程序,实现该编码的程序,编码源程序。vc++环境的。-hdb3 bian ma yuan cheng xu.shi xian hdb3 de bian ma .ji yu vc++ shi yan huan jing de cheng xu.
  3. 所属分类:中间件编程

    • 发布日期:2024-05-15
    • 文件大小:271360
    • 提供者:麻麻
  1. HDB3

    0下载:
  2. HDB3编码器的设计 HDB3编码器的设计 -HDB3 Encoder HDB3 Encoder HDB3 Encoder HDB3 Encoder
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:97280
    • 提供者:
  1. HDB3

    0下载:
  2. HDB3编码器与译码 HDB3编码器与译码-HDB3 encoder and decoder
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:266240
    • 提供者:一天
  1. HDB3

    0下载:
  2. 基于FPGA的HDB3编码器和译码器的实现源代码-the decoder and encoder based on FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:260096
    • 提供者:ganzhhua
  1. hdb3

    0下载:
  2. hdb3编码源程序完整版,内含插B,插V程序,功能完整,欢迎下载-library ieee use ieee.std_logic_1164.all entity hdb3 is port(codein: in std_logic clk : in std_logic clr : in std_logic --复位信号
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:lin
  1. hdb3_codedecode

    0下载:
  2. 用VERILOG实现的,hdb3编码器和解码器,经过前仿真和后仿真成功-Achieved with the VERILOG, hdb3 encoder and decoder, after a successful pre-simulation and post simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:435200
    • 提供者:Along
  1. hdb3

    0下载:
  2. 自己做的基于MATLAB的HDB3编码,已经通过了调试- HDB3 code based on MATLAB
  3. 所属分类:matlab例程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:xuzhenxing
  1. HDB3

    0下载:
  2. 用Verilog HDL语言进行HDB3编码,并通过Quartus Ⅱ仿真验证-With the Verilog HDL language HDB3 coding, and simulation by Quartus Ⅱ
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1362944
    • 提供者:jabeile
  1. hdb3

    0下载:
  2. verilog的HDB3编码设计,求点数
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:临风
  1. HDB3

    0下载:
  2. HDB3编码 利用VDHL语言编写 实现数字基带传输HDB3编码-HDB3 code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:kid
  1. HDB3编码

    0下载:
  2. 一个java版的实现通信原理中的HDB3编码器(A HDB3 encoder in the "Communication principle" of Java version.)
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-15
    • 文件大小:22528
    • 提供者:magu
« 12 3 4 5 6 7 »

源码中国 www.ymcn.org