搜索资源列表

  1. sram

    0下载:
  2. sram 读写小程序,用verilog编写的,请各位高手指教-SRAM read and write small programs using Verilog prepared, please enlighten you master
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1206
    • 提供者:kevin
  1. ZBT SRAM

    0下载:
  2. 用verilog HDL写的操作SRAM的源码-with Verilog HDL write operation SRAM FOSS
  3. 所属分类:行业应用软件

    • 发布日期:2008-10-13
    • 文件大小:6435
    • 提供者:刘波
  1. SRAM

    0下载:
  2. 是一个基于VHDL的SRAM程序,很有代表意义,下下吧
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3773
    • 提供者:张俊
  1. SRAM

    0下载:
  2. 静态随机存储器(SRAM)设计VHDL代码,已经生成的了
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:345796
    • 提供者:陆见风
  1. SRAM

    0下载:
  2. SRAM编译过的源代码 强烈推荐
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3137
    • 提供者:JP
  1. sram+lcd

    0下载:
  2. 用vhdl格式写的sram源代码,把扩展名txt改为.v即可
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1979
    • 提供者:郭艳红
  1. sram

    0下载:
  2. FPGA向SRAM中写入数据(VHDL编程),包含通用fifo,sram等
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:270755
    • 提供者:王刚
  1. SRAM-PINGPANG

    0下载:
  2. 超声视频图像需要实时地采集并在处理后在显示器上重建,图像存储器就必须不断地写入数据,同时又要不断地从存储器读出数据送往后端处理和显示[11]。为了满足这种要求,可以在采集系统中设置2片容量一样的SRAM,通过乒乓读写机制来管理。任何时刻,只能有1片SRAM处于写状态,同时也只有1片SRAM处于读状态。工作期间,2片SRAM都处于读写状态轮流转换的过程,转换的过程相同,但是状态错开,从而保证数据能连续地写人和读出祯存.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1214
    • 提供者:smj1980
  1. SRAM

    0下载:
  2. STM32F103VBT6 实现SRAM
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:676176
    • 提供者:yuan
  1. SRAM

    1下载:
  2. 这是一个sram接口驱动程序,能够驱动256kbx16bit的sram
  3. 所属分类:驱动编程

    • 发布日期:2008-10-13
    • 文件大小:11459
    • 提供者:chenyizhong
  1. sram控制器

    0下载:
  2. 基于nios ii 的sram控制器
  3. 所属分类:VHDL编程

    • 发布日期:2010-10-21
    • 文件大小:218458
    • 提供者:jinsam
  1. 调试STM32外部SRAM

    0下载:
  2. IAR编译环境下的STM32外部SRAM调试程序~
  3. 所属分类:源码下载

  1. SRAM

    0下载:
  2. 内存的介绍,从最早的内存开始讲起的,直到现在的 DDRII哦-memory, the memory from the earliest start of the 1960s and now the DDRII oh
  3. 所属分类:行业应用软件

    • 发布日期:2024-05-15
    • 文件大小:712704
    • 提供者:
  1. ICR-SRAM

    0下载:
  2. 基于SRAM的可重配置电路-SRAM-based reconfigurable circuit
  3. 所属分类:文档资料

    • 发布日期:2024-05-15
    • 文件大小:17408
    • 提供者:王自强
  1. 3300iap

    0下载:
  2. 尽量朝“单片”方向设计硬件系统。系统器件越多,器件之间相互干扰也越强,功耗也增大,也不可避免地降低了系统的稳定性。随着单片机片内集成的功能越来越强,真正的片上系统SoC已经可以实现,如ST公司新近推出的μPSD32××系列产品在一块芯片上集成了80C32核、大容量FLASH存储器、SRAM、A/D、I/O、两个串口、看门狗、上电复位电路等等。 -North Korea as the "single-chip" des
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:535552
    • 提供者:东东
  1. AN06SW

    0下载:
  2. 外部SRAM与C8051F000接口 Copyright (C) 2000 CYGNAL INTEGRATED PRODUCTS, INC. All rights reserved. FILE NAME : Sram.ASM TARGET MCU : C8051F000 DEscr iptION : External Sram read/write verification routine for IDT 7
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:
  1. 51汇编程序1ASM

    0下载:
  2. 本程序用于测试实时时钟模块SD2000的SRAM存储器D/E系列, 程序功能如下: 1. 关闭/INT1及/INT2的中断输出 2. 初始化时间(写时间数据) 3. 在BREAKPOINT1设断点时,依次读时间-写SRAM数据-读SRAM数据循环 4. 全速执行时,LED四位分别显示小时和分钟的值-procedures used to test the real-time clock module SD2000 SRAM memory
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:4096
    • 提供者:小顽童
  1. sdram32

    0下载:
  2. sram 存储器控制程序很完整,值得认真研究,很有帮组-SRAM memory control program is very complete, worthy of serious study, is to help groups
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:23552
    • 提供者:许曲
  1. hanbaosram

    0下载:
  2. 德国汉堡大学的SRAM测试代码,使用VHDL编写,供大家参考-University of Hamburg, Germany, SRAM test code, the use of VHDL, for your reference
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:6144
    • 提供者:汪涌
  1. videodigitalsignalscontroller

    1下载:
  2. 用fpga技术实现基本的视频信号处理:主题程序;视频图象数据采集程序;sram的读写控制;测试程序-they simply use the basic technology of video signal processing : theme; Video data acquisition procedures; SRAM literacy control; test procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:8192
    • 提供者:yan
« 1 2 3 45 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org