搜索资源列表

  1. FPGA Synthesis with the Synplify Pro Tool

    0下载:
  2. FPGA Synthesis with the Synplify Pro Tool
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:950993
    • 提供者:processor
  1. Synplify

    0下载:
  2. 介绍Synplify综合工具的使用教程,是中文的哦!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1520040
    • 提供者:cncexo
  1. Modelsim、Synplify.Pro、ISE 设计全流程.rar

    0下载:
  2. 芯片开发 Modelsim、Synplify.Pro、ISE 设计全流程
  3. 所属分类:其他书籍

  1. FPGA Synthesis with the Synplify Pro Tool

    0下载:
  2. FPGA Synthesis with the Synplify Pro Tool
  3. 所属分类:电子书籍

    • 发布日期:2024-05-06
    • 文件大小:950272
    • 提供者:processor
  1. 5-2-2Syn

    0下载:
  2. synplify环境下 实现 全加器 功能-synplify environment to achieve full functionality increases
  3. 所属分类:DSP编程

    • 发布日期:2024-05-06
    • 文件大小:7168
    • 提供者:wuhao
  1. FPGA_GPS_C_A

    0下载:
  2. 本文:采用了FPGA方法来模拟高动态(Global Position System GPS)信号源中的C/A码产生器。C/A码在GPS中实现分址、卫星信号粗捕和精码(P码)引导捕获起着重要的作用,通过硬件描述语言VERILOG在ISE中实现电路生成,采用MODELSIM、SYNPLIFY工具分别进行仿真和综合。-This article: FPGA method used to simulate the high dynamic (Gl
  3. 所属分类:软件工程

    • 发布日期:2024-05-06
    • 文件大小:163840
    • 提供者:xiaozhu
  1. synplify862crack

    0下载:
  2. 如题,synplify8.62的破解,很好用,比较新的synplify版本。-Such as title, synplify8.62 the crack, very good, and relatively new version of Synplify.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-06
    • 文件大小:23552
    • 提供者:ln
  1. syn81_crk_new

    0下载:
  2. synplify 8.1 pro 的最新破解文件-The latest synplify 8.1 pro crack file
  3. 所属分类:其他小程序

    • 发布日期:2024-05-06
    • 文件大小:23552
    • 提供者:johnnyz
  1. Synplify

    0下载:
  2. 介绍Synplify综合工具的使用教程,是中文的哦!-Introduce the use of synthesis tools Synplify Tutorial, is Chinese in Oh!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:1519616
    • 提供者:cncexo
  1. synplify

    0下载:
  2. 是一个相当好的程序软件,仅供参考,好东西大家一起享用-Is a very good software, for reference purposes only good things to enjoy along with everyone
  3. 所属分类:其他小程序

    • 发布日期:2024-05-06
    • 文件大小:3072
    • 提供者:z
  1. FPGA

    0下载:
  2. FPGA设计全流程:Modelsim>>Synplify.Pro>>ISE 第一章 Modelsim编译Xilinx库 第二章 调用Xilinx CORE-Generator 第三章 使用Synplify.Pro综合HDL和内核 第四章 综合后的项目执行 第五章 不同类型结构的仿真-FPGA design of the whole process: Modelsim>> Synpl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:218112
    • 提供者:青岚之风
  1. ARelativelySimpleRISCCPU

    0下载:
  2. A Relatively Simple RISC CPU 设计源码并附详细的说明文档。可以ModelSim进行仿真,并可以用synplify进行综合。-A Relatively Simple RISC CPU design source with detailed documentation. ModelSim simulation can be carried out, and they can Synplify synthesis.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:224256
    • 提供者:hulin
  1. VHDL

    0下载:
  2. 本文使用实例描述了在 FPGA/CPLD 上使用 VHDL 进行分频器设 计,包括偶数分频、非 50%占空比和 50%占空比的奇数分频、半整数 (N+0.5)分频、小数分频、分数分频以及积分分频。所有实现均可 通过 Synplify Pro 或 FPGA 生产厂商的综合器进行综合,形成可使 用的电路,并在 ModelSim 上进行验证。 -This article describes the use of example
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:322560
    • 提供者:黄鹏曾
  1. Synplify

    0下载:
  2. 华为synplify入门教程:Synplify快速入门-Huawei Synplify Tutorial: Synplify Quick Start
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:63488
    • 提供者:summery
  1. SynplifyPro_QuartusII_Ver5_v4_1

    0下载:
  2. synplify 与quartus 进行FPGA综合设计文档-Synplify and Quartus FPGA integrated design documents for
  3. 所属分类:其他小程序

    • 发布日期:2024-05-06
    • 文件大小:3281920
    • 提供者:summery
  1. 4bit_buma_adder

    0下载:
  2. Verilog作业 :自己写的源码输入,补码输出的,由状态机控制的四位加法器,为保证时序,加法器模块为超前近位加法器,包含测试台,通过 Modelsim 、Synplify仿真。-Verilog operation: the source code to write their own input, complementary code output by the state machine to control the four ad
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:2048
    • 提供者:wizard
  1. Synplify.Premier.v9.6.2.with.Identify.3.0.2

    0下载:
  2. Synplify.Premier.v9.6.2.with.Identify.3.0.2 crack
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:13312
    • 提供者:
  1. DDC

    0下载:
  2. matlab与synplify DSP AE相结合的DDC实例,希望对大家有所帮助-matlab and synplify DSP AE combining DDC example, in the hope that U.S. help
  3. 所属分类:压缩解压

    • 发布日期:2024-05-06
    • 文件大小:187392
    • 提供者:luocaijin
  1. synplify

    0下载:
  2. synplify pro经典教程,快速学会synplify的一些基础应用-Tutorial synplify pro classic, fast Society based on the application of some of synplify
  3. 所属分类:文档资料

    • 发布日期:2024-05-06
    • 文件大小:872448
    • 提供者:张文祺
  1. Synplify-teaching

    0下载:
  2. synplify使用教程,快熟学会synplify的使用,以及基本的编程。-synplify teaching book,let you study synplify quickly。
  3. 所属分类:书籍源码

    • 发布日期:2024-05-06
    • 文件大小:66560
    • 提供者:张行
« 12 3 4 5 »

源码中国 www.ymcn.org