搜索资源列表

  1. vga_display

    0下载:
  2. 关于VGA显示的vhdl源代码,符合vga的相关时序,是您的重要参考。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:294073
    • 提供者:wangyd
  1. vga_display

    0下载:
  2. 关于VGA显示的vhdl源代码,符合vga的相关时序,是您的重要参考。-VGA display on the VHDL source code, in line with the relevant vga timing is an important reference for you.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:293888
    • 提供者:wangyd
  1. vga_display

    0下载:
  2. VGA controller源码及显示汉字和ascii字符的c代码实例,已在DE2-70上实现-vga_controller source code and c code which can display chinese charactors and ASCII code on the VGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:304128
    • 提供者:
  1. vga_display

    0下载:
  2. 这里有很多vhdl的设计实例 希望可以给大家一个好的借鉴和学习资料-useful
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:380928
    • 提供者:延廷芳
  1. vga_display

    0下载:
  2. 采用VHDL语言针对spartan3e开发板写的VGA例程,包含.ucf配置文件-VHDL language used to write for spartan3e development board VGA routines, including. ucf profile.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:wangshunliang
  1. vga_display

    0下载:
  2. verilog实现vga显示,板子上验证正确性-verilog achieve vga display, verify the correctness of the board
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2449408
    • 提供者:飞天
  1. vga_display

    0下载:
  2. Basys开发板上实现VGA显示 Basys开发板上实现VGA显示, 经过测试运行成功,-Basys development board to achieve realization of the development board VGA display Basys VGA display, after a successful test run,
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:380928
    • 提供者:
  1. vga_display

    0下载:
  2. fpga vga 竖条 棋盘 显示程序-Fpga VGA ShuTiao board show program
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:474112
    • 提供者:mr liu
  1. vga_display

    0下载:
  2. DE2平台上VGA接口设计,静态图片的显示-VGA connector on the DE2 board design, the display of static images
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1220608
    • 提供者:jie
  1. VGA_Display

    0下载:
  2. 基于VGA接口的Verilog语言程序,包括VGA接口时序控制以及图案生成模块。-VGA interface based on Verilog language program, including VGA interface timing control, and pattern generation module.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:210944
    • 提供者:xigua
  1. vga_display

    0下载:
  2. VHDL语言VGA显示代码,可以正常运行-VHDL language VGA display code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:152576
    • 提供者:yuan
  1. vga_Display

    0下载:
  2. 用图形图像的显示,硬件编程的代码,可以作为单片机学习的参考(The code is easy to learn, and you can make use of it to improve your skills)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:喵纳德
  1. VGA_Display

    0下载:
  2. 通过VGA实现图片的显示。代码可用,已通过验证。(The display of the picture is realized by VGA. The code is available and has been verified.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:8556544
    • 提供者:ayasp
  1. VGA_Display(FPGA)

    0下载:
  2. 在FPGA开发平台上,通过按键控制一个弹球小游戏。输出VGA显示信号输送到显示器上显示(On the FPGA development platform button control of a pinball game. VGA output signal is supplied to the display displayed on the display)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:3974144
    • 提供者:Caste

源码中国 www.ymcn.org