搜索资源列表

  1. yibutongxin

    0下载:
  2. 本程序是用VHDL语言实现异步通信控制器, hao1.vhd为主程序,hao1.scf为仿真波形-this procedure is used VHDL asynchronous communication controller, mainly hao1.vhd procedures, hao1.scf for simulation waveforms
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:704512
    • 提供者:陈华
  1. s2p

    0下载:
  2. 用vhdl代码写的并行转串行的程序,波形图正确,已经在板子上运行过,良好-using VHDL code written in parallel to serial procedures waveform correct, the board has been running that good
  3. 所属分类:其他小程序

    • 发布日期:2024-04-29
    • 文件大小:99328
    • 提供者:国宝
  1. 8051IPCORE

    0下载:
  2. VHDL写成的8051IP核,仔细看能有不少收货-written in VHDL 8051IP nuclear, look very carefully to have a receipt
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:202752
    • 提供者:张常
  1. bicount

    0下载:
  2. 完整的双向计数器VHDL 程序 大家参考-integrity of the two-way counter VHDL reference procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:1024
    • 提供者:潘科良
  1. eclock

    0下载:
  2. MAXPULS II 下VHDL实现多功能电子钟的源代码,包括时钟,秒表,日历等多种功能-MAXPULS II under VHDL multifunctional electronic clock source code, including the clock, stopwatch, multiple functions such as calendar
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:3072
    • 提供者:余远恒
  1. VHDL_processor

    0下载:
  2. 利用VHDL语言描述的一个简单微处理器,可以通过修改源码来调整指令集,可以在Quartus II上直接运行和编译.-use VHDL descr iption of a simple microprocessor, can modify the source codes to adjust instruction set, Quartus II can be directly compiled and running.
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:742400
    • 提供者:赵康
  1. VHDL_Examples_for_education

    0下载:
  2. VHDL代码编程,集合了众多优秀的实例,胜过任何一本书的例子,作为教学或程序开发中调用非常合适!-VHDL code programming, a combination of a large number of outstanding examples are better than any one book's examples, as a teaching program or call very appropriate!
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:59392
    • 提供者:赵康
  1. jiyuVHDLdeIPheyanzheng

    0下载:
  2. 摘要 探讨了IP 核的验证与测试的方法及其和 VHDL语言在 IC 设计中的应用 并给出了其在RISC8 框架 CPU 核中的下载实例.-Abstract IP nuclear testing and certification of the method and its VHDL and in IC Design and Application given its RISC8 fr a mework in the CPU core d
  3. 所属分类:文件格式

    • 发布日期:2024-04-29
    • 文件大小:118784
    • 提供者:赵天
  1. fifo88

    0下载:
  2. 8*8位的先入先出(fifo)数据缓冲器的vhdl源程序-8* 8 of the first-in-first out (FIFO) buffers the data source VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:317440
    • 提供者:hailaing
  1. blockram

    0下载:
  2. 本人正在学习vhdl语言,买了套开发板,这些是配套光盘里的内容,非常难得,网上找不到的-I was learning VHDL language, bought a set of development boards, which are compatible CD-ROM's content, and very rare. not online! !
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:21504
    • 提供者:孙强
  1. ddr

    0下载:
  2. 本人正在学习vhdl语言,买了套开发板,这些是配套光盘里的内容,非常难得,网上找不到的-I was learning VHDL language, bought a set of development boards, which are compatible CD-ROM's content, and very rare. not online! !
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:2048
    • 提供者:孙强
  1. distributed_ram

    0下载:
  2. 本人正在学习vhdl语言,买了套开发板,这些是配套光盘里的内容,非常难得,网上找不到的-I was learning VHDL language, bought a set of development boards, which are compatible CD-ROM's content, and very rare. not online! !
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:12288
    • 提供者:孙强
  1. sum_of_products

    0下载:
  2. 本人正在学习vhdl语言,买了套开发板,这些是配套光盘里的内容,非常难得,网上找不到的-I was learning VHDL language, bought a set of development boards, which are compatible CD-ROM's content, and very rare. not online! !
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:2048
    • 提供者:孙强
  1. 200622810562278741

    0下载:
  2. 基与vhdl语言实现1602LCM液晶显示屏的驱动函数和实例-Base with the VHDL language 1602LCM LCD driver functions and examples
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:15360
    • 提供者:lele
  1. 200632146671689

    0下载:
  2. 基于vhdl在FPGA中实现高精度快速除法-based on the FPGA VHDL precision rapid division
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:741376
    • 提供者:lele
  1. des.tar

    0下载:
  2. 这是一个vhdl的 DES编程软件,希望大家喜欢 -This is a VHDL programming software DES hope you like
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:37888
    • 提供者:沈鹏
  1. VHDl-several-procedure

    0下载:
  2. alter 公司芯片的几个简单应用,主要是红外遥控,键盘扫描等几个程序-chips alter a few simple applications, primarily infrared remote control, keyboard scanning procedures, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:82944
    • 提供者:javenliu
  1. 4yue11haoxiawu

    0下载:
  2. 1、基于FPGA实现FIR数字滤波器的研究(使用VHDL语言进行编程) 2、多功能单片机下载开发软硬件的设计(利用VB或V C++和C语言)有下载板和下载软件 3、迷你播放器(利用Visual Basic 6.0设计)可以播放多种格式的音乐和电影,以及图片浏览等等 4、小电容小电感测试仪 -1, FPGA-based digital FIR filter (use VHDL program) 2. Mu
  3. 所属分类:按钮控件

    • 发布日期:2024-04-29
    • 文件大小:16384
    • 提供者:wangxing
  1. VHDL6

    0下载:
  2. 这是关于VHDL学习的备课材料,里面有很多VHDL原代码,对初学者非常有帮助.-on VHDL learning lesson preparation materials, there are many VHDL source code, for beginners very helpful.
  3. 所属分类:其他小程序

    • 发布日期:2024-04-29
    • 文件大小:555008
    • 提供者:
  1. fpga-jpeg

    0下载:
  2. vhdl实现的JPEG嘿嘿 嘿嘿圆圆嘿嘿另-VHDL achieve JPEG laughter laughter another round laughter
  3. 所属分类:其他小程序

    • 发布日期:2024-04-29
    • 文件大小:103424
    • 提供者:window
« 1 2 ... 45 46 47 48 49 50»

源码中国 www.ymcn.org