搜索资源列表

  1. VHDL程序范例

    0下载:
  2. 这是有关VHDL的一些范例,可以通过范例学习一点东西,巩固自己学过的东西-This is the VHDL some examples, examples can learn something consolidate learned things
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:5085
    • 提供者:刘建
  1. VHDL语言写的音乐演奏程序

    2下载:
  2. 用VHDL语言写的,音乐演奏程序,范例歌曲为“青花瓷”片段。
  3. 所属分类:VHDL编程

    • 发布日期:2012-03-22
    • 文件大小:700184
    • 提供者:xiezheqi
  1. VHDL程序范例

    0下载:
  2. VHDL初级范例
  3. 所属分类:VHDL编程

    • 发布日期:2011-05-27
    • 文件大小:14640
    • 提供者:antant001
  1. vhdl程序范例

    0下载:
  2. 所属分类:源码下载

    • 发布日期:2011-05-28
    • 文件大小:43233
    • 提供者:miniqiqi07
  1. VHDL程序范例

    0下载:
  2. 这是有关VHDL的一些范例,可以通过范例学习一点东西,巩固自己学过的东西-This is the VHDL some examples, examples can learn something consolidate learned things
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:5120
    • 提供者:刘建
  1. EDA

    0下载:
  2. 用VHDL语言写的,音乐演奏程序,范例歌曲为“青花瓷”片段。-Using VHDL language, and music performance procedures, examples of songs as
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:700416
    • 提供者:xiezheqi
  1. dianzizhongfangzhen

    0下载:
  2. 8.20 电子时钟VHDL程序与仿真 为初学者提供很好的范例-8.20 electronic clock VHDL program for beginners and simulation provides an excellent example of
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:59392
    • 提供者:zhangfeng
  1. vhdl

    0下载:
  2. vhdl 范例,很多程序,来源于软件内部-vhdl example
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:7168
    • 提供者:albertfei
  1. 3

    0下载:
  2. vhdl程序范例,包括测试向量,存储器举例,基本语法,状态机-vhdl program examples, including test vectors, the memory for example, basic grammar, state machine, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:183296
    • 提供者:袁莎莎
  1. VHDLsample

    0下载:
  2. 英国诺森比亚大学的vhdl语言例程集锦,英文原版。 包含很多优秀的VHDL语言范例,可供学习。所有程序均可在符合IEEE标准的模拟器上模拟。-This file contains a selection of VHDL source files which serve to illustrate the diversity and power of the language when used to describe various
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:172032
    • 提供者:eensy
  1. Full-Adder

    0下载:
  2. 用VHDL实现的全加器,采用dataflow style编写,是学习VHDL入门级的好范例. 包括主程序和测试程序-Full adder by using VHDL, dataflow style writing. It is a good example of VHDL especially for the entry-level leaner(Testbench included)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:chenzhang
  1. Example-b8-6

    0下载:
  2. Synplify Pro综合流程序仿真,注:本范例同时提供Verilog和VHDL两种语言版本,请读者根据习惯选用不同的源代码进行操作。-Synplify Pro comprehensive process simulation (note: this example provides two Verilog and VHDL language version at the same time, please choose the di
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:123904
    • 提供者:波罗的海

源码中国 www.ymcn.org