搜索资源列表

  1. LCD1602+DS1302+DS18B20 Clock

    1下载:
  2. 这个是我做的时间比较长的一个作品了,名字叫做智能数字时钟,能够显示年、月、日、星期、时间、温度,还能够实现秒表功能、闹钟设置、闹钟音乐的选择、整点报时、通过串口温度数据的传输、涓流充电功能、出厂复位功能等。我是一直是有空的时间进行程序调试,并且不断的添加功能。这个还不是最完整的版本,还有很多功能。但是有一个缺点,就是时间不是非常准确,因为DS1302不是超精确的时钟芯片,一天可能相差4秒钟的样子。以后我就改用了SD2000时钟芯片,那还
  3. 所属分类:源码下载

    • 发布日期:2011-03-03
    • 文件大小:33959
    • 提供者:wuya817
  1. 电子钟clock

    0下载:
  2. 用VHDL语言来实现一个电子时钟,可以调时间。小时,分,秒。可以下载到实验箱来运行验证。-use VHDL to achieve an electronic clock, the time can be set aside. Hours, minutes and seconds. Experiments can be downloaded to the box to run test.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:353280
    • 提供者:刘卫
  1. ActiveX--clock

    0下载:
  2. 一个用VC写的ACTIVEX控件实现显示系统时间功能看了绝对有收获你将学到如何添加ACTIVEX的事件,方法,属性我的QQ:187356137-a VC was ACTIVEX control display system time to achieve functional absolutely rewarding read you will learn how to add ACTIVEX events, methods, attr
  3. 所属分类:ActiveX/DCOM

    • 发布日期:2024-06-10
    • 文件大小:37888
    • 提供者:
  1. clock-C51

    0下载:
  2. 基于AT89C51的电子钟源程序和Protel电路图,该电路可以实现定时开关灯,整点报时,在线调整时间、日期、定时开时间和定时关时间。 -based on the electronic bell AT89C51 source and Protel circuit, the circuit can be achieved timer switch lights, the whole point timekeeping, online ad
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-10
    • 文件大小:31744
    • 提供者:xuke
  1. clock-delphi

    1下载:
  2. 小闹钟程序,用Delphi实现的,可以调用多种音乐文件,作为闹钟铃声,可以自己编辑一个,感觉不错噢!-small alarm clock program, Delphi, can call a variety of music files, as alarm bell, one can edit their own, I feel pretty good Oh!
  3. 所属分类:Dephi控件源码

    • 发布日期:2024-06-10
    • 文件大小:338944
    • 提供者:Charles
  1. Clock

    0下载:
  2. 使用COM组件实现的一个实时时钟,在VC中开发中直接把这个控件拖上去就行了-The use of COM components to achieve a real-time clock, in the VC in the development of this control directly to the trailer up on the list
  3. 所属分类:ActiveX/DCOM

    • 发布日期:2024-06-10
    • 文件大小:29696
    • 提供者:裴国东
  1. clock

    0下载:
  2. TI MSP430 I2C模块实现 日历时钟系统设计方案的源码 全部代码 -TI MSP430 I2C Module realize calendar clock system design of all-source code
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-10
    • 文件大小:4096
    • 提供者:amzip
  1. clock

    0下载:
  2. 该程序实现了一个圆形时钟的运行情况,采用VC++编写-The program implements a round the clock operation, using VC++ Prepared
  3. 所属分类:GDI/图象编程

    • 发布日期:2024-06-10
    • 文件大小:1199104
    • 提供者:刘畅
  1. CLOCK

    0下载:
  2. 51单片机实现数字时钟的程序,输出小时,分,秒显示在数码管上,并可按键调节。-51 single-chip digital clock procedures, output hours, minutes and seconds displayed on the digital tube, and adjust keys.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-10
    • 文件大小:2048
    • 提供者:zhangbo
  1. clock

    0下载:
  2. 该代码利用pic单片机和时钟芯片ds1307实现了时钟的功能,程序通过了proteus的仿真-The code to use pic MCU and clock chip DS1307 achieved a clock function, the procedure adopted Proteus Simulation
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-10
    • 文件大小:2048
    • 提供者:黄亚
  1. Clock

    0下载:
  2. 这是出自《MFC Windows程序设计》一书中的源码,实现了一个闹钟功能。-This is from the
  3. 所属分类:Windows编程

    • 发布日期:2024-06-10
    • 文件大小:59392
    • 提供者:caijintao
  1. clock

    0下载:
  2. 这是一个实现时分秒的时钟功能的源码,采用vhdl语言编写,已写好led驱动,可直接在数码管上显示-Realize this is an accurate clock function when the source code, the use of VHDL language has been written led drive directly in the digital tube display
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:246784
    • 提供者:xiaoshuai
  1. clock

    0下载:
  2. 基于51单片机实现的数字钟 用keil编译,并用protues仿真实现。-Based on 51 single-chip realization of digital clock using keil compiler, and realize protues simulation.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-10
    • 文件大小:53248
    • 提供者:digua
  1. 51-clock

    0下载:
  2. 用单片机实现简单电子表功能.可以设置时间,闹铃.并带LED显示.对单片机入门非常有好处-With MCU features a simple electronic form. Can set the time and alarm. And with LED display. On a very good entry-Singlechip
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-10
    • 文件大小:2048
    • 提供者:zhangjun
  1. clock

    0下载:
  2. 电子报时钟源码,实现报时的功能!声音文件可以自行替换-E-paper clock source to achieve the function of the time! Sound files can be replaced
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-10
    • 文件大小:727040
    • 提供者:dream
  1. clock

    1下载:
  2. 8253,8259实现电子钟,源码,接线图,流程图均在文档中。-8253,8259 realize electronic clock, source code, wiring diagram, flowcharts are in the document.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-10
    • 文件大小:31744
    • 提供者:liuman
  1. Clock

    0下载:
  2. VC++.NET编程实例的第8章Clock,实现时钟的程序代码,包括数字时钟和指针时钟-VC++. NET programming examples in Chapter 8 Clock, Clock realize the program code, including the number of clock and clock pointer
  3. 所属分类:.net编程

    • 发布日期:2024-06-10
    • 文件大小:3732480
    • 提供者:liulili
  1. clock

    0下载:
  2. 实现有声音的电子钟表,能够图形实现数码时钟表,而且可以控制是否有声音,一个不错的刚入门的小程序。-Realize there have been voices of electronic watches and clocks, to realize the digital graphics when clocks and watches, but also can control whether there is sound, a go
  3. 所属分类:Windows编程

    • 发布日期:2024-06-10
    • 文件大小:38912
    • 提供者:firememory
  1. clock

    0下载:
  2. 数字钟的VHDL源程序,可实现整点报时、闹钟的功能,还有常有星期的显示,已调试过-Digital Clock in VHDL source code, enabling the whole point timekeeping, alarm clock function, there are often weeks of shows that have been debug
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:1339392
    • 提供者:玉峰
  1. clock

    0下载:
  2. 一个简单的24h时钟,包含开机、关机、暂停、置数功能,以及整点时脉冲响五次(a simple digital clock implemented on Vivado)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-10
    • 文件大小:666624
    • 提供者:Vivado la vida
« 12 3 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org