搜索资源列表

  1. 890254

    0下载:
  2. 自己写的动态规划解最少硬币问题,比较简单-Write your own dynamic programming solution of the least coin problem, relatively simple
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:youjie
  1. 89youjie

    0下载:
  2. 自己写的动态规划解最少硬币问题,比较的简单-Write your own dynamic programming solution of the least coin problem, relatively simple
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:2048
    • 提供者:youjie
  1. FakeCoin

    0下载:
  2. 有一大堆金币其中有一枚假币在一堆金币中找出一个价币来。-Have a lot of these, a counterfeit gold coin in the pile of coins to find a price.
  3. 所属分类:数值算法/人工智能

    • 发布日期:2024-05-17
    • 文件大小:986112
    • 提供者:刘飞
  1. drink

    0下载:
  2. 一个饮料自动售货机可以放置三种不同的饮料:顾客根据自己的喜好选择饮料投币购买,系统售出饮料并自动找零,库存不足则无法购买。存货员可根据销售状况添加不同数量的饮料。每售出一瓶饮料系统会自动计数并计算销售额,收银员取款后会显示销售记录并将销售记录清零。可创建一个文件储存商品信息,通过对象数组drk[]来记录饮料的相关信息,并通过查找,添加,删除等操作实现所需功能。-A drink vending machine can be placed
  3. 所属分类:系统编程

    • 发布日期:2024-05-17
    • 文件大小:979968
    • 提供者:谢非
  1. VendingmachinesimulationwithVHDL

    0下载:
  2. 自动售货机VHDL程序与仿真 功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 说明:显示的钱数coin的 以5角为单位。 -Vending machine simulation of VHDL procedures and functions: cargo information storage, process control, coin handling, balance calculation, dis
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:146432
    • 提供者:Zhu
  1. tangjingbo

    0下载:
  2. 模拟抛硬币实验的结果,正面与方面之差为三则实验终止-Coin toss simulation results of the experiment, both positive and respect the difference of 3 end of the experiment
  3. 所属分类:界面编程

    • 发布日期:2024-05-17
    • 文件大小:613376
    • 提供者:tangjingbo
  1. FPGAcoin

    0下载:
  2. 基于FPGA设计的投币程序,并且记录分数-Coin-based FPGA design process, and record scores
  3. 所属分类:汇编语言

    • 发布日期:2024-05-17
    • 文件大小:12288
    • 提供者:司伟海
  1. VndingMachine

    0下载:
  2. 1.机器有一个投币孔,每次只能投入一枚硬币,但可以连续投入多枚硬币。机器能识别的硬币金额为1元,5角和1角。顾客可选择的饮料价格有1元,1元5角,2元三种。每次只能售出1瓶饮料。 2.购买饮料时先选择饮料价格再投币,当投入的硬币总金额达到或超过饮料价格后,机器发出指示信号并拒收继续投入的硬币。顾客投币后,按动确定键,机器将发出饮料和找零硬币,若所投金额不足,则发出欠资信号指示。在欠资情况下,顾客可以继续投币购买,也可按取消键,机器将退
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:林晓
  1. Vending

    0下载:
  2. 用MFC模拟了一款自动售货机的基本功能,具有投币、退币、购买商品等功能,还能通过输入密码获得管理员权限并编辑商品的种类、数量、价格等信息,针对课设很适合-MFC simulated using the basic functions of a vending machine with a coin, coins back, purchase merchandise and other functions, but also to obt
  3. 所属分类:界面编程

    • 发布日期:2024-05-17
    • 文件大小:12057600
    • 提供者:杜飞
  1. 1

    0下载:
  2. 分类决策树辅助 CT 诊断孤立性肺结节的 方法学研究 应用分类与回归决策树( CART) 算法构建 CT 显像鉴别良恶性孤立性肺结节 ( SPN) 预测模型,探讨数据挖掘技术在 SPN 影像诊断中的应用价值。-Coin lesion, pulmonary Diagnosis, computer-assisted Regression analysis
  3. 所属分类:数值算法/人工智能

    • 发布日期:2024-05-17
    • 文件大小:141312
    • 提供者:Erin
  1. mpi-ikl-simplemkl-1.0.tar

    0下载:
  2. This package contains an implementation of the Infinite Kernel Learning (IKL) algorithm and the SimpleMKL algorithm. This is realized by building on Coin-Ipopt-3.3.5 and Libsvm.
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-17
    • 文件大小:3456000
    • 提供者:奕风
  1. DynamicCoin

    0下载:
  2. Solution to the coin changing problem using DP
  3. 所属分类:行业发展研究

    • 发布日期:2024-05-17
    • 文件大小:71680
    • 提供者:zero
  1. 2010-04-15

    0下载:
  2. 我写的游艺机程序,keil下编译,STC89C55芯片。实现投币、出票、数码管、语音、电磁阀等的动作。功能保密,只是和大家探讨交流程序的写法、架构。-I wrote the amusement program, keil compile, STC89C55 chip. Achieve coin, ticket, digital control, voice, electromagnetic valve action. Function
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:398336
    • 提供者:赵典
  1. autoseller

    0下载:
  2. 1. 本实验由两个按键分别模拟投币过程,投入的金钱总额(余额)会显示在数码管上; 2. 由一个按键模拟要购买的商品(价值7元),可买多次,购物总额同样会显示在数码管上; 3. 拥有一个确认键,确认做出的交易,若铃响则表示交易成功,同时扣除相应的费用,否则之前选购的商品将清空; 4. 余额可以退币,也可继续购买,将拨码开关1往上拨,LED灯全亮,表示余额已退 -1. In this study, two key proce
  3. 所属分类:软件工程

    • 发布日期:2024-05-17
    • 文件大小:507904
    • 提供者:Lin
  1. vending_vhdl

    0下载:
  2. 1、机器有一个投币孔,每次只能投入一枚硬币,但可以连续投入多枚硬币。机器能识别的硬币金额为1元,5角和1角。顾客可选择的饮料价格有1元,1元5角,2元三种。每次只能售出1瓶饮料。 2、购买饮料时先选择饮料价格再投币,当投入的硬币总金额达到或超过饮料价格后,机器发出指示信号并拒收继续投入的硬币。顾客投币后,按动确定键,机器将发出饮料和找零硬币,若所投金额不足,则发出欠资信号指示。在欠资情况下,顾客可以继续投币购买,也可按取消键,机器
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:291840
    • 提供者:蒋晓玲
  1. DrawCoin

    0下载:
  2. 这是一个画出硬币的小程序,运用VC++,比较适合初学者练手用-This is a small program to draw the coin, using VC++, more suitable for beginners to practice hand
  3. 所属分类:界面编程

    • 发布日期:2024-05-17
    • 文件大小:2048
    • 提供者:蒋瑾
  1. coin

    0下载:
  2. 长江游艇俱乐部在长江上设置了n 个游艇出租站1,2,…,n。游客可在这些游艇出租站租用游艇,并在下游的任何一个游艇出租站归还游艇。游艇出租站i 到游艇出租站j 之间的租金为r(i,j),1< =i< j < =n。试设计一个算法,计算出从游艇出租站1 到游艇出租站n 所需的最少租金。-coins
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:wanghan
  1. Vending_machine

    0下载:
  2. 设计一台自动售货机,要求具备货物信息存储、进程控制、硬币处理、余额计算、自动找零、状态显示等功能。-Design a vending machine, requires cargo information storage, process control, coin handling, balance calculation, auto-change, the status display.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:314368
    • 提供者:刘智虎
  1. 5

    0下载:
  2. Computer Vision and Computer Graphics can be thought of as opposite sides of the same coin.
  3. 所属分类:文档资料

    • 发布日期:2024-05-17
    • 文件大小:180224
    • 提供者:sruthi
  1. ICT006

    0下载:
  2. ICT(台湾吉鸿)的收币器通讯协议,可以与硬币接收/找零装置对接。由上位机或单片机控制。 此为测试软件,能清楚看到收发的指令。-ICT (Taiwan ICT) and communication protocols coin collection, coins can receive/give change device docking. Controlled by a PC or microcontroller. This is
  3. 所属分类:编程文档

    • 发布日期:2024-05-17
    • 文件大小:276480
    • 提供者:deathpig
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 23 »

源码中国 www.ymcn.org