搜索资源列表

  1. CORDIC_ATAN

    1下载:
  2. 使用verilog语言完成了基于cordic算法求反正切的计算,精度为8次迭代-Verilog language used to complete based on CORDIC algorithm for arctangent calculation, an accuracy of 8 iterations
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:小米
  1. cordic

    0下载:
  2. 数字信号处理的fpga实现,用VHDL语言编程实现cordic算法-Digital signal processing to achieve the FPGA, using VHDL language programming to achieve CORDIC Algorithm
  3. 所属分类:DSP编程

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:songjunmin
  1. atan_lut

    0下载:
  2. 基于改进的查找表的arctan计算模块,包含完整的VHDL源代码及部分注释.绝对原创!-Arctan calculation module based on improved searching form. The rar package contains complete VHDL source code and some notes. Absolutely original!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:12288
    • 提供者:wgy
  1. atan

    0下载:
  2. 自己写的cordic 的 64位计算反正切的程序,-cordic count atan program
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:planet1997
  1. atan

    0下载:
  2. 反三角函数asin,acos,atan,atan2的实现,编写手机游戏的数学相关库时,经常会用到。 -反三角函数asin, acos, atan, atan2 realization, the preparation of mathematics related to mobile phone games library, is often used.
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:lili
  1. cordic

    0下载:
  2. vhdl语言编写的cordic算法,实现了cordic的流水线运算。-cordic language vhdl algorithm cordic the pipeline operator.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:lmy
  1. atan_cordic

    0下载:
  2. 基于CORDIC算法计算反正切函数。输入横坐标纵坐标及迭代次数,输出角度及弧度值;附CORDIC英文文档说明-atan function based in CORDIC algorithm
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-05-29
    • 文件大小:39936
    • 提供者:liuhua
  1. arctan_prog.ZIP

    0下载:
  2. 用Cordic算法实现的atan函数,可以在通信中完成解调的鉴相功能。-Cordic algorithm using the atan function can be completed in the communication phase demodulation functions.
  3. 所属分类:3G开发

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:黄嘎
  1. cordic_sqrt_atan

    0下载:
  2. 利用cordic实现开方和atan运算的matlab源码。 本人原创,标准cordic算法。-calculate aquare root and atan by cordic. Matlab m language.
  3. 所属分类:matlab例程

    • 发布日期:2024-05-29
    • 文件大小:96256
    • 提供者:李林
  1. CORDIC-atan

    0下载:
  2. A program to calculate sun position(Declination and Right Ascension) in very accurate manner.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:rsh
  1. cordic_atan

    1下载:
  2. 用verilog语言实现计算反正切函数,在软件无线电中解调PM/FM中使用的尤为频繁。上传的压缩包是modelsim工程,基于6.5c,里边包含一个完整的PM波产生以及解调过程的matlab文件仿真,并取其中间的I和Q支路做为verilog文件的输入,并将其借条输出与MATLAB实际解调输出作比较。 鉴相器的设计基于CORDIC算法,其精度取决于迭代的深度。由于工程实际运用只需要解调出atan值,并不需要绝对的值,所以并没有给予加权
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-05-29
    • 文件大小:79872
    • 提供者:Jorge
  1. CORDIC16

    0下载:
  2. 16次迭代的CORDIC算法,精度很高,可应用于计算反正切值-16 iterations of the CORDIC algorithm, high accuracy, can be applied to calculate arctangent
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:2048
    • 提供者:桑顿
  1. atan_cordic

    0下载:
  2. atan function using cordic
  3. 所属分类:matlab例程

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:xyz002
  1. cordic_atan

    0下载:
  2. CORDIC arctangent(atan) Simulink model. You can generate HDL from this model
  3. 所属分类:matlab例程

    • 发布日期:2024-05-29
    • 文件大小:30720
    • 提供者:nnmb
  1. serial_fxptesto

    0下载:
  2. CORDIC arctangent(atan) Simulink model. You can generate HDL from this model
  3. 所属分类:matlab例程

    • 发布日期:2024-05-29
    • 文件大小:30720
    • 提供者:gogo
  1. A-math-routine-to-calculate-the-atan(x-y)-using-C

    0下载:
  2. calculates the arctangent of the input values x and y (sometimes called atan2) by using a CORDIC (COordinate Rotation on a DIgital Computer) algorithm for 8051 microcontroller
  3. 所属分类:汇编语言

    • 发布日期:2024-05-29
    • 文件大小:3072
    • 提供者:microorganisme
  1. CORDIC_ATAN

    0下载:
  2. 用CORDIC算法,通过角度旋转,实现ATAN,最后得到角度和幅度-CORDIC algorithm, by the angle of rotation, ATAN and finally get the angle and amplitude
  3. 所属分类:并行运算

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:zxh
  1. atan

    0下载:
  2. fpga运用cordic算法计算atan.精确度较好。-fpga using cordic algorithm atan. precision is better.
  3. 所属分类:并行运算

    • 发布日期:2024-05-29
    • 文件大小:22970368
    • 提供者:shiyuan
  1. CORDIC

    0下载:
  2. 在Matlab中实现了Cordic快速算法,并实现了sin(x), cos(x), atan(y/x), sqrt(x^2+y^2)等函数的快速计算,并附加了误差分析的结果图,代码清晰简洁,对Cordic算法的迭代次数确定,有一定的指导意义-Cordic implemented in Matlab fast algorithm, and realized sin (x), quickly calculate cos (x), atan
  3. 所属分类:matlab例程

    • 发布日期:2024-05-29
    • 文件大小:137216
    • 提供者:俞毅
  1. cordic

    0下载:
  2. cordic,matlab,sin,cos,atan,sqrt
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-05-29
    • 文件大小:5120
    • 提供者:老殷比
« 12 »

源码中国 www.ymcn.org