搜索资源列表

  1. atan_base_on_CORDIC_arithmetic

    0下载:
  2. 用CORDIC算法实现的2参数反正切。结果的精度与CORDIC的迭代次数有关,迭代次数越多,精度越高。本例子中精确到小数点后4位。要提高迭代次数,还得把增加1QN格式的位数,比如32位long,程序多处需要修改,有需要的话自己改吧。 -CORDIC algorithm with the two parameters arc tangent. And the accuracy of the CORDIC iteration numbe
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1921
    • 提供者:陈朋
  1. TheResearchoftherealtimesignalprocessingofSARbased

    0下载:
  2. 3.完成系统的FPGA程序开发与调试,主要包括FFT,IFFT,CMUL和转置 存储控制等模块,在此基础上,重点介绍了一种基于DDR SDRAM的行写行读高 效转置存储算法,在采用该算法进行转置存储操作时,读写两端的速度相匹配, 满足流水线操作要求,提高了整个系统的实时性。最后介绍了采用CORDIC算法 实现复图像求模运算的方法,分析了算法的硬件实现结构,并给出了基于FPGA 的实现方法及仿真结果。-he FPGA
  3. 所属分类:软件工程

    • 发布日期:2024-05-16
    • 文件大小:5155840
    • 提供者:mabeibei
  1. cordic

    0下载:
  2. cordic算法的VHDL实现,在FPGA下应用-cordic VHDL algorithm implemented in the FPGA application under
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-16
    • 文件大小:48128
    • 提供者:范丹丹
  1. Cordic

    0下载:
  2. cordic算法ip核,国外网站搞到的,可以应用于电机控制,快速数值计算,基于FPGA硬件实现-cordic ip core,just enjoy
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:241664
    • 提供者:刘业超
  1. cordic

    0下载:
  2. 用来实现CORDIC算法,完成求相位功能-CORDIC algorithm is used to achieve complete phase-functional requirements
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:16384
    • 提供者:王蕊
  1. cordic

    0下载:
  2. 此段程序为CORDIC的实现,参照论文加速CORDIC算法的实现-CORDIC implementation procedures for this section, reference to paper to accelerate the realization of CORDIC Algorithm
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:LILI
  1. cordic

    0下载:
  2. CORDIC(Coordinate Rotation Digital Computer)算法即坐标旋转数字计算方法。 CORDIC算法,能够通过平移和累加快速实现基础的数学函数,包括三角函数,开方,指数,对数,平方根等函数。-CORDIC (Coordinate Rotation Digital Computer) algorithm for the coordinate rotation digital calculation. CO
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:陈华
  1. cordic_fpga

    0下载:
  2. cordic算法的原理和仿真实现-cordic algorithm
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:393216
    • 提供者:ethan
  1. cordic

    0下载:
  2. 基于VHDL语言编写,可下载到FPGA板子上实现的cordic算法实现的设计,并用该算法实现sin和cos的计算,计算结果显示在数码显示管上,已包含按键防抖动功能的实现。-Based on VHDL language, can be downloaded to the the cordic algorithm implemented in the FPGA board to achieve the design and calculat
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:5120
    • 提供者:momo
  1. cordic

    0下载:
  2. 基于VHDL语言的CORDIC算法实现,用于计算sin(x),cos(x)等,实测可用-Based on VHDL CORDIC algorithm, used to calculate sin (x), cos (x), etc., the measured available
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:3751936
    • 提供者:刘淇
  1. cordic

    0下载:
  2. cordic算法实现特征值分解,非常实用,代码可以作为参考-cordic eigenvalue decomposition algorithm, very practical, code can be used as a reference
  3. 所属分类:matlab例程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:彭诚诚
  1. SINANDCOS-CORDIC

    0下载:
  2. 该资料是利用cordic算法实现正余弦函数计算输出的源程序及说明。资料中包含迭代算法和流水线算法。-This information is to use cordic algorithm source code and instructions cosine function calculates the output. Iterative algorithms and data contained in the pipeline al
  3. 所属分类:软件工程

    • 发布日期:2024-05-16
    • 文件大小:116736
    • 提供者:chenjianwen
  1. cordic

    0下载:
  2. 用查表法和cordic算法实现正弦曲线,包括了幅度压缩,对称压缩,sunderland压缩等-Implemented with look-up table and cordic sinusoidal algorithms, including margin compression, symmetrical compression, sunderland compression
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-16
    • 文件大小:6144
    • 提供者:lxc
  1. cordic

    0下载:
  2. 使用verlog语音实现cordic 算法,在DE2 115平台上已验证。(Implementation cordic algorithm)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:3072
    • 提供者:chen_zc
  1. cordic

    0下载:
  2. 利用matlab和cordic算法计算正弦值,有完整的实现过程(matlab cordic code that was proven)
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:垚垚佟
  1. cordic

    0下载:
  2. 硬件实现了cordic算法,具有快速实现的优势(verilog version of cordic algorithm)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:4096
    • 提供者:垚垚佟
  1. cordic

    0下载:
  2. 基于Verilog HDL语言,用cordic算法的旋转模式实现三角函数和反三角函数的计算(Calculation of trigonometric function and anti trigonometric function by rotation mode of CORDIC algorithm)
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-05-16
    • 文件大小:8192
    • 提供者:yinbayinba
  1. ex_cordic

    0下载:
  2. 在FPGA中将产生的直角坐标数据经过cordic算法,转换成极坐标数据,获得相位数据(The Cartesian coordinates generated in FPGA are converted into polar coordinate data through CORDIC algorithm, and phase data is obtained.)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:1516544
    • 提供者:楚天阔
  1. CORDIC算法MATLAB代码

    0下载:
  2. 坐标旋转数字计算机算法利用简单的移位和加法实现sin,cos,tan,arctan等函数的计算,适合计算机处理,速度快。(The algorithm of coordinate rotation digital computer realizes the computation of functions such as sin, cos, tan and arctan by simple shift and addition, whic
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:lcr1995
  1. cordic

    0下载:
  2. 基于verilog HDL的cordic算法FPGA实现。省去繁琐的乘法开方计算。IDE为vivado 2014( U57FA u4E8Everilog HDL u7684cordic u7B97 u6CD5FPGA u5B9E u73B0 u3002 u7B1 u53BB u7E1 u7410 u7684 u4E58 u6CD5 u5F00 u65B9 u8BA1 u7B97 u300BIDE
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:97280
    • 提供者:涛2017777
« 1 2 34 5 6 7 8 9 10 »

源码中国 www.ymcn.org