搜索资源列表

  1. dianti

    0下载:
  2. Verilog在maxpuls2下开发的电梯控制器的文档(包括代码),其中说明十分详尽-Verilog maxpuls2 under development in the elevator controller files (including code), It showed very detailed
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:74200
    • 提供者:余远恒
  1. dianti

    0下载:
  2. 用VHDL 语言描述度八层电梯,其开发均在FPGA中-using VHDL descr iption was complicated lifts, the development is in FPGA
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:356626
    • 提供者:侯同
  1. dianti

    0下载:
  2. 应用于陵阳单片的电梯,
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:12458
    • 提供者:卢志
  1. CAN-bus-dianti

    0下载:
  2. 依靠强大的专业开发团队、PHILIPS 半导体的领先技术与国际 CiA 协会、ODVA 协会的支持,我们致力于发展 中国的 CAN 产品与应用事业。至现在,我们已成功开发出一系列 CAN-bus 教学、接口、工具、应用产品,能够为客 户提供从“芯片”、“工具”、“模块”、“方案”等各个方面的服务,涉及 CAN-bus 多个行业与应用领域。我们自主开 发的数个型号产品已经领先于国外技术水平,并已投入广泛的实际应用。 CA
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:436612
    • 提供者:蔡鹏
  1. dianti

    0下载:
  2. 这是一个用VHDL语言编写的电梯控制程序,挺好使的,希望有兴趣的可以看看,内有word文档说明。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:509079
    • 提供者:方方
  1. dianti

    0下载:
  2. 这是一个用VHDL语言编写的电梯控制程序,挺好使的,希望有兴趣的可以看看,内有word文档说明。-This is a use of VHDL language elevator control procedures, very good so I hope interested parties can see that there are word document explains.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:508928
    • 提供者:方方
  1. dianti

    0下载:
  2. 基于凌阳61板的五层电梯模型C语言程序,经试验成功-Sunplus based on the five-story elevator plate 61 model C Language Program Following a successful trial,
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:83968
    • 提供者:zhouting
  1. dianti

    0下载:
  2. 单片机控制电梯程序,自我感觉良好 希望对大家有很好的帮助-Single-chip microcomputer to control the lift procedure, feel good hope everyone has a good help
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:3072
    • 提供者:王玉姣
  1. dianti

    0下载:
  2. 一个vhdl语言写的描述六层电梯的运行,有报警信号。通过了测试-A VHDL language descr iption of six-storey elevator operation, has alarm signal. Passed the test
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:李叶
  1. dianti

    0下载:
  2. 用8255实现一个5层模拟电梯,通过按键盘,led数码管上相应有数字显示,并能显示相应的上下楼状况-By 8255 to achieve a 5-layer simulation of elevators, by pressing the keyboard, led digital tube has the corresponding figures, and can show the corresponding situation o
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:chenye
  1. dianti

    0下载:
  2. 电梯控制器程序设计 六层楼 含有详细解释以及波形-Elevator controller program design containing six-storey buildings, as well as a detailed explanation waveform
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:161792
    • 提供者:龙丽丽
  1. dianti

    0下载:
  2. 以FPGA技术为基础,以VHDL为语言,以QuartusII为工具,设计一个5层楼的电梯控制器-To FPGA technology, to VHDL language to QuartusII as a tool to design a 5-story elevator controller
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:linyao
  1. dianti

    0下载:
  2. 电梯模拟程序,可以模拟控制电梯的运行,给出简单的运行界面-Elevator simulation program can be simulated to control the operation of the lift, given the operation of a simple interface
  3. 所属分类:Windows编程

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:赵小川
  1. dianti

    0下载:
  2. 用verilog写的电梯控制器内附测试文件和实验报告 -Use verilog to write elevator controller with the test documentation and test reports
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:668672
    • 提供者:黎德才
  1. dianti

    0下载:
  2. 一个简易的电梯控制程序,汇编语言,实现8个楼层的电梯控制,包含PROTEUS仿真-Elevator control a simple process, assembly language, the realization of the eight floors of elevator control, including PROTEUS simulation
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:29696
    • 提供者:西蓝
  1. dianti

    0下载:
  2. 一个简单的电梯调度算法 自己写的 有需要的随便拿 希望对大家有所帮助-A simple elevator scheduling algorithm wrote it myself in need of randomly want to help everyone
  3. 所属分类:软件工程

    • 发布日期:2024-05-09
    • 文件大小:20480
    • 提供者:lilanxiao
  1. dianti

    0下载:
  2. FPGA的电梯控制程序,用vhdl语言实现电梯的控制的代码-FPGA elevator control program, using vhdl language implementation code for the control of the elevator
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:3072
    • 提供者:萤火虫
  1. dianti

    0下载:
  2. 一个电梯模拟程序,用c++实现,可以自己改楼层数.-An elevator simulation program, c++ implementation with, you can change your floors.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:1394688
    • 提供者:your name
  1. dianti

    0下载:
  2. 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:124928
    • 提供者:李辉
  1. dianti

    1下载:
  2. 实现电梯的相关控制系统,在开发板EGO1上实现,数码管显示相关的楼层和状态-dianti in verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:743424
    • 提供者:邓奇
« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org