搜索资源列表

  1. FPGA-Racing-Game

    0下载:
  2. 在开发板EGO1上实现赛车游戏,语言为verilog,内含bits文件-racing game in verilog
  3. 所属分类:游戏

    • 发布日期:2024-06-12
    • 文件大小:2141184
    • 提供者:邓奇
  1. MemoryGame-master

    1下载:
  2. 在开发板EGO1上实现的图形记忆游戏,白块按下确认建,黑色块不按确认键-memory game in verilog
  3. 所属分类:其他智力游戏

    • 发布日期:2024-06-12
    • 文件大小:3720192
    • 提供者:邓奇
  1. dianti

    1下载:
  2. 实现电梯的相关控制系统,在开发板EGO1上实现,数码管显示相关的楼层和状态-dianti in verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-12
    • 文件大小:743424
    • 提供者:邓奇
  1. fpga_video_game-master

    0下载:
  2. 在开发板EGO1上实现的直升机飞行游戏,随时间的累积,速度不断加快,数码管显示积分- Helicopter game in verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-12
    • 文件大小:22924288
    • 提供者:邓奇
  1. EGO1快速上手指南v1224

    0下载:
  2. EGO1快速上手指南,适用于新手进行学习(EGO1 Quick Start Guide)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-12
    • 文件大小:3051520
    • 提供者:杨云霄
  1. flybird

    0下载:
  2. 在开发板EGO1上实现的小鸟游戏,有详细地模块说明,使用vivdao平台实现(Bird board game on the development board EGO1, a detailed module descr iption, the use of vivdao platform)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-12
    • 文件大小:522240
    • 提供者:a10954
  1. source

    0下载:
  2. 编程verilog 适用于FPGA开发 适合初学者 极好极好极好(verilog hdl fpga eg01)
  3. 所属分类:其他小程序

    • 发布日期:2024-06-12
    • 文件大小:32605184
    • 提供者:YUY-E
  1. project_zyg

    0下载:
  2. 利用HC——SR04的超声波模块与EGO1板子外加一个EMAX电机形成一个测距报警器 上传文件为vivado程序(Using the HC - SR04 ultrasonic module and the EGO1 board plus a EMAX motor to form a range finder to upload the file as the vivado program)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-12
    • 文件大小:2009088
    • 提供者:nbnm
  1. 0-EGo1快速上手指南

    0下载:
  2. Verilog编程上手EGO1开发教程1(Verilog programming tutorials for EGO1 development 1)
  3. 所属分类:其他小程序

    • 发布日期:2024-06-12
    • 文件大小:3051520
    • 提供者:联合开发11
  1. 数码管显示

    1下载:
  2. 在FPGA EGO1的口袋平台上实现数码管滚动显示学号的功能(Rolling on the digital tube to display the school number)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-12
    • 文件大小:629760
    • 提供者:低转的丝巾
  1. rs232

    0下载:
  2. 使用VHDL语言在vivado平台上编的串口通信的完整工程,并能用EGO1开发板成功验证(The complete project of serial communication is compiled on the vivado platform using VHDL language, and it can be successfully verified with the EGO1 development board.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-12
    • 文件大小:697344
    • 提供者:vmansus
  1. camera_ov7725_sample

    2下载:
  2. 实现摄像头ov7725采集视频,通过vga端口输出. fpga型号为EGO1,可以自行修改xdc文件.(Realize the camera ov7725 acquisition of video, output through VGA port. Fpga model EGO1, you can modify the XDC file.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-12
    • 文件大小:15090688
    • 提供者:beTTer_every
  1. test_ADC

    0下载:
  2. verilog 数模转换程序,包括AD与DA,AD能够对于波形的数值进行输出,使用的是ego1开发板(transition of A/D signal)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-12
    • 文件大小:12637184
    • 提供者:白珑
  1. 3b-EGo1配套实验vivado2014.4_v1.2

    0下载:
  2. 大学数字电路设计实验大作业,各种电路绘制(University digital circuit design experiment homework, all kinds of circuit drawing)
  3. 所属分类:其他小程序

    • 发布日期:2024-06-12
    • 文件大小:20785152
    • 提供者:ash12345

源码中国 www.ymcn.org