搜索资源列表

  1. Afixed-pointbasecomplementdivider

    0下载:
  2. 由寄存器,全加器,移位寄存器,计数器,触发器和门电路构成补码一位除法器,将开关设定的补码形式出现的除数,被除数存入相应寄存器中.能用单脉冲按步演示运算全过程.-From the register, full adder, shift register, counters, flip-flops and gates constitute a complement divider will switch set in the form o
  3. 所属分类:其他小程序

    • 发布日期:2024-05-22
    • 文件大小:143360
    • 提供者:JOE
  1. shuzixitongshiyan

    0下载:
  2. 这个给QuartusII初学者用的,里面很清楚的通过几个例子来告诉怎么运用QuartusII. 实验1:Quartus入门 实验2:简单的组合逻辑电路设计 实验3:七段数码管显示 实验4:BCD码显示及运 实验5:触发器和计数器 实验6:存储器的设计 实验7:基于DE2 的SOPC系统开发附录:-This QuartusII beginners to use, which is very clear thro
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:754688
    • 提供者:yulieyar
  1. sdglxt

    0下载:
  2. 可连接SQL,ORACLE等数据库。 首先建立SHOP用户,密码为SHOP,赋予连接和RESOURCE权限。再建立SHOP表空间,再建立表和触发器(在SQL源代码.doc里)并在PASSWORD表里添加新项name:‘admin ,psw: admin 这是管理员登陆帐户。 剩余的就看帮助文件吧。-Can connect SQL, ORACLE and other databases. Firstly, SHOP user, p
  3. 所属分类:SQL Server数据库

    • 发布日期:2024-05-22
    • 文件大小:1775616
    • 提供者:魏昌伟
  1. 08_VHDL_simulation2

    0下载:
  2. 台湾人梁奕智写的VHDL编程学习的PPT讲义,里面包括内容有D触发器、寄存器、累加器、计数器、有限状态机等非常有用的内容。-Taiwanese Liang-chi written in VHDL programming learning PPT lectures, which include the contents of D flip-flops, registers, accumulators, counters, finite s
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:690176
    • 提供者:WeimuMa
  1. eeglab_current

    0下载:
  2. 用于进行eeg独立分量分析的多个函数,可以直接调用-EEG used for a number of independent component analysis function, can directly call
  3. 所属分类:matlab例程

    • 发布日期:2024-05-22
    • 文件大小:29964288
    • 提供者:erin
  1. CPLD

    0下载:
  2. 本科教育的实体实例,其中包括3-8译码器,D触发器等逻辑模块,可以位初学CPLD的爱好者提供方便-Examples of undergraduate education entities, including the 3-8 decoder, D flip-flops and other logic modules, digital learning can facilitate fans CPLD
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-22
    • 文件大小:89088
    • 提供者:小树
  1. highspeed-data

    0下载:
  2. 一种基于高速逻辑器件(触发器,计数器和门电路等)控制的,可与各种单片机系统友好连接的高速A/D转换采集系统。-Based on high-speed logic device (flip-flops, counters and gates, etc.) control can be friendly with a variety of single-chip microcomputer system to connect high-sp
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-22
    • 文件大小:121856
    • 提供者:车轩
  1. dff

    0下载:
  2. 用vhdl编写的D触发器,锁存器等,不需帐号就可自由下载此源码-VHDL prepared using D flip-flops, latches and so on, no account can be a free download this source
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:1024
    • 提供者:daniel
  1. clock

    0下载:
  2. XLINX做的数字钟,可以准确计时的。 用计数器和触发器实现。-XLINX do digital clock can be accurately timed. With counters and flip-flops to achieve.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:1007616
    • 提供者:zhuning
  1. 74LS90

    0下载:
  2. 学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。-Learning digital circuits in the basic RS flip-flops, monostable multivibrator, clock generator and counting, decoding display unit integrated circuit applications.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-22
    • 文件大小:219136
    • 提供者:陈竺
  1. dianzsz

    0下载:
  2. 学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。-Learning digital circuits in the basic RS flip-flops, monostable multivibrator, clock generator and counting, decoding display unit integrated circuit applications.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-22
    • 文件大小:77824
    • 提供者:陈竺
  1. bookshopmanage

    0下载:
  2. 可连接SQL,ORACLE等数据库。 首先建立SHOP用户,密码为SHOP,赋予连接和RESOURCE权限。再建立SHOP表空间,再建立表和触发器(在SQL源代码.doc里)并在PASSWORD表里添加新项name:‘admin ,psw: admin 这是管理员登陆帐户。 -Can connect SQL, ORACLE and other databases. First of all, users set up SHOP,
  3. 所属分类:其他数据库

    • 发布日期:2024-05-22
    • 文件大小:1428480
    • 提供者:阮之
  1. flop

    0下载:
  2. SFLOP simulates a floating point operation x op y where op = +, -, *, / In chopping or rounding arithmetic using an m digit mantissa, base 10, and an unrestricted exponent range. (sflop: Simulate F
  3. 所属分类:matlab例程

    • 发布日期:2024-05-22
    • 文件大小:5120
    • 提供者:xyz
  1. sheji2

    0下载:
  2. 一个秒表的硬件设计,学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。-The hardware design of a stopwatch, learn basic digital circuit in the RS flip-flops, monostable multivibrator, the clock generator and counting, decoding display
  3. 所属分类:其他小程序

    • 发布日期:2024-05-22
    • 文件大小:131072
    • 提供者:周妮
  1. SQL

    0下载:
  2. 主要是实验指导书,有触发器之类,还有部分的SQL语句。十分的安逸啊 -Guide book is mainly experimental, there are flip-flops like, as well as part of the SQL statement. Ah very easy
  3. 所属分类:文件格式

    • 发布日期:2024-05-22
    • 文件大小:97280
    • 提供者:lizhi
  1. VHDL

    0下载:
  2. VHDL对各种电路的基本实现,包括乘法器,触发器,加减法器等-VHDL for the basic realization of the various circuits, including multipliers, flip-flops, and other instruments used in addition and subtraction
  3. 所属分类:书籍源码

    • 发布日期:2024-05-22
    • 文件大小:1138688
    • 提供者:Michael
  1. jktrig

    0下载:
  2. 时序逻辑电路中jk触发器的设计,用vhdl语言编写。-Jk flip-flops in sequential logic circuit design, using vhdl language.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:9216
    • 提供者:Mr zhang
  1. papi_high_level

    0下载:
  2. TITLE : PAPI High Level API s DATE : Jan 02 2008 Programs Descr iption 1. Program Name : counters.c Descr iption : Program to start counting values into the values array, Read the running counter
  3. 所属分类:其他小程序

    • 发布日期:2024-05-22
    • 文件大小:7168
    • 提供者:nuke88
  1. xilinx_HDL_Codin

    0下载:
  2. 很实用详细的HDL编程艺术技巧,是英文版的。包括触发器、同步、异步电路设计的等。-HDL programming in detail very practical artistic skills, is in English. Including flip-flops, synchronous and asynchronous circuit design and so on.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:227328
    • 提供者:杰夫
  1. flops

    0下载:
  2. matlab matrix processing functions
  3. 所属分类:matlab例程

    • 发布日期:2024-05-22
    • 文件大小:1024
    • 提供者:Alan
« 12 3 4 »

源码中国 www.ymcn.org