搜索资源列表

  1. keypad controller LED 驅動程序

    0下载:
  2. keypad的驅動程序
  3. 所属分类:嵌入式Linux

    • 发布日期:2011-06-09
    • 文件大小:3062
    • 提供者:z211010
  1. Interface 4x4 matrix keypad with 8051 IO

    0下载:
  2. Interface 4x4 matrix keypad with 8051 IO
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-03
    • 文件大小:4096
    • 提供者:高威
  1. keypad

    0下载:
  2. 之前在 embedded linux 上写 keypad driver 参考的源代码,有写 keypad driver 需要的朋友可以参考-Embedded linux before keypad driver to write a reference source code, a keypad driver needs to write a friend can refer to
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-03
    • 文件大小:17408
    • 提供者:Lief Tang
  1. KeyPad

    0下载:
  2. keypad controlling using PIC16F877 by C
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-03
    • 文件大小:2048
    • 提供者:Twinkle
  1. keypad

    0下载:
  2. keypad source code for samsung SC2410
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-03
    • 文件大小:3072
    • 提供者:李茂瓏
  1. Keypad

    0下载:
  2. linux 下keypad 使用详细代码,请查看-linux under the keypad to use the detailed code, see
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-03
    • 文件大小:12288
    • 提供者:袁慎重
  1. keypad

    0下载:
  2. source code for 4x4 keypad interfaced to 89c61x2 keil uvision
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-03
    • 文件大小:1024
    • 提供者:manio
  1. keypad

    0下载:
  2. 4*4按键扫描经典程序与8位数码管动态显示-4* 4 keypad scanning procedures and classic 8-bit digital tube dynamic display
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-03
    • 文件大小:31744
    • 提供者:胡湖
  1. keypad

    0下载:
  2. an assembly program that shows how to use keypad 4 by 4 by using the parallel port the program display each entered key on dos screen
  3. 所属分类:汇编语言

    • 发布日期:2024-05-03
    • 文件大小:1024
    • 提供者:wesamoha
  1. keypad

    0下载:
  2. LCD+keypad for PIC18F4520
  3. 所属分类:OA系统

    • 发布日期:2024-05-03
    • 文件大小:1024
    • 提供者:baby
  1. report-hex-keypad-debouncer

    0下载:
  2. Quartus Verilog HDL, complete document, having schematics, flowcharts, and Verilog codes for various modules for implementing a hex-keypad, including the important code of DEBOUNCER
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-03
    • 文件大小:797696
    • 提供者:ak
  1. keypad

    0下载:
  2. 单片机矩阵键盘编程,内部附有详细的说明,读者可以参阅-source of keypad for C51
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-03
    • 文件大小:14336
    • 提供者:谢成鑫
  1. keypad

    0下载:
  2. this is program for keypad for pic microcontroller
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-03
    • 文件大小:1024
    • 提供者:naveen
  1. keypad

    0下载:
  2. keypad attached to lcd source code that simply writes the correct keypad number or letter. PIC: 16F87X + LCD display + keypad -keypad attached to lcd source code that simply writes the correct keypad number or
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-03
    • 文件大小:1024
    • 提供者:soroush
  1. Keypad

    0下载:
  2. keypad interfacing arm
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-03
    • 文件大小:15360
    • 提供者:Suraj
  1. keypad

    0下载:
  2. Keypad 4x4 MikroC-AVR
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-05-03
    • 文件大小:67584
    • 提供者:Qhoang
  1. KEYPAD

    0下载:
  2. keypad program for 8051 microcontroller
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-03
    • 文件大小:1024
    • 提供者:jainisravan
  1. keypad-manip-with-pic16f877

    0下载:
  2. a 3x4 keypad manipulation code wich can be used in several cases such as security and data acquisition
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-03
    • 文件大小:1024
    • 提供者:farhani123
  1. jQuery-Keypad

    0下载:
  2. 用jquery写的模拟的网页键盘插件,适用于jquery1.4以上版本-a keypad simulation program written by jquery.
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-03
    • 文件大小:28672
    • 提供者:jia
  1. array-keypad

    0下载:
  2. avr128:array keypad using bascom
  3. 所属分类:汇编语言

    • 发布日期:2024-05-03
    • 文件大小:11264
    • 提供者:dynames
« 12 3 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org