搜索资源列表

  1. leon3-memec-v2mb1000

    0下载:
  2. LEON3 SOC GRlip IP core. Memory controller.-LEON3 GRlip SOC IP core. Memory controller.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:104270
    • 提供者:岳昆
  1. leon3-clock-gate

    0下载:
  2. Clock gating logic for LEON3 processor.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:114700
    • 提供者:岳昆
  1. leon3-altera-ep1c20

    0下载:
  2. leon3 patch for altera ep1c20 FPGA.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:101026
    • 提供者:岳昆
  1. leon3-altera-ep2s60-ddr

    0下载:
  2. This leon3 design is tailored to the Altera NiosII Startix2 Development board, with 16-bit DDR SDRAM and 2 Mbyte of SSRAM. As of this time, the DDR interface only works up to 120 MHz. At 130, DDR data can be r
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:114780
    • 提供者:king.xia
  1. leon3 debug

    0下载:
  2. 基于leon3的debugger,采用最新的方法进行debugger的设计,是一种新的思路
  3. 所属分类:源码下载

  1. leon3

    0下载:
  2. sun公司的sparc v8处理器的配置代码。-the sun sparc ET processor configuration code.
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-17
    • 文件大小:43008
    • 提供者:吴明诗
  1. leon3-altera-ep2s60-ddr

    0下载:
  2. The GRLIB IP Library is an integrated set of reusable IP cores, designed for system-on-chip (SOC) development. The IP cores are centered around a common on-chip bus, and use a coherent method for simulation and synth
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:103424
    • 提供者:岳昆
  1. leon3-memec-v2mb1000

    0下载:
  2. LEON3 SOC GRlip IP core. Memory controller.-LEON3 GRlip SOC IP core. Memory controller.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:104448
    • 提供者:岳昆
  1. leon3-clock-gate

    0下载:
  2. Clock gating logic for LEON3 processor.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:114688
    • 提供者:岳昆
  1. leon3-altera-ep1c20

    0下载:
  2. leon3 patch for altera ep1c20 FPGA.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:101376
    • 提供者:岳昆
  1. leon3_pci

    0下载:
  2. LEON3 SOC environment, PCI bridges.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:71680
    • 提供者:岳昆
  1. leon3-altera-ep2s60-sdr

    0下载:
  2. ahb sdram interface.arm cpu series,include controller
  3. 所属分类:中间件编程

    • 发布日期:2024-05-17
    • 文件大小:98304
    • 提供者:
  1. leon3-altera-ep2s60-ddr

    0下载:
  2. This leon3 design is tailored to the Altera NiosII Startix2 Development board, with 16-bit DDR SDRAM and 2 Mbyte of SSRAM. As of this time, the DDR interface only works up to 120 MHz. At 130, DDR data can be r
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:114688
    • 提供者:
  1. cpu-leon3-altera-ep1c20

    0下载:
  2. 一个使用VHDL设计的具有强大功能的32位CPU,这个文件包含了在Altera公司的ep1c20 FPGA的位码文件和配置文件,可以直接下载使用!-A VHDL design with the use of powerful 32-bit CPU, this document contains Altera Corporation in the ep1c20 FPGA code and configuration files, you
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:687104
    • 提供者:zhao onely
  1. cpu-leon3-altera-ep2s60-ddr

    0下载:
  2. 一个使用VHDL设计的具有强大功能的32位CPU,这个文件包含了与之配套的DDR控制器程序!-A VHDL design with the use of powerful 32-bit CPU, this document contains a complete set of DDR controller program!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:752640
    • 提供者:zhao onely
  1. cpu-leon3-xilinx-ml505

    0下载:
  2. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:391168
    • 提供者:zhao onely
  1. leon3

    0下载:
  2. leon3 source code 虽然gaisler网站上有下载,但是提供此代码,希望能与更多的朋友一起学习leon-leon3 source code although gaisler website to download, but the provision of this code, would like to work with more friends with learning leon
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:144384
    • 提供者:CGF
  1. leon3

    0下载:
  2. 这个一个基于amba总线的leon3处理器的vhdl语言程序描述,学习fpga总线开发的请看-The amba bus-based processor vhdl language leon3 procedures described in the study developed fpga see bus
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:2048
    • 提供者:cws
  1. LEON3

    0下载:
  2. 基于LEON3核的在线调试工具开发 基于LEON3核的在线调试工具开发-On-line debugging tools LEON3 nuclear development based on-line debugging tools LEON3 development of nuclear
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:379904
    • 提供者:荣超群
  1. LEON3

    0下载:
  2. Leon3 实验指导,cpu ,讲解详细-Leon3 experimental guide, cpu, explain in detail
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:3556352
    • 提供者:Chen Yejin
« 12 3 »

源码中国 www.ymcn.org