搜索资源列表

  1. manchester

    0下载:
  2. 用verilog HDL实现曼彻斯特编码的源码-with Manchester Verilog HDL source code
  3. 所属分类:行业应用软件

    • 发布日期:2008-10-13
    • 文件大小:4131
    • 提供者:刘波
  1. Manchester-AVR

    0下载:
  2. Manchester码解码算法的AVR单片机实现
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:332054
    • 提供者:林永平
  1. manchester

    0下载:
  2. 用verilog HDL实现曼彻斯特编码的源码-with Manchester Verilog HDL source code
  3. 所属分类:行业应用软件

    • 发布日期:2024-05-06
    • 文件大小:4096
    • 提供者:刘波
  1. 曼彻斯特编解码Verilog代码

    0下载:
  2. 曼彻斯特编解码Verilog代码 .zip-Manchester codec Verilog code. Zip
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-06
    • 文件大小:10240
    • 提供者:崔广辉
  1. Mafffnchester

    0下载:
  2. 一个曼彻斯特编码解码器以及差分曼彻斯特编码解码程序,Vc++6下编译通过-a Manchester encoding decoder difference Manchester encoding and decoding process, Vitamin C++ 6.0 compiler through
  3. 所属分类:Internet/网络编程

    • 发布日期:2024-05-06
    • 文件大小:229376
    • 提供者:杨圣湖
  1. 曼彻斯特码

    0下载:
  2. 今天看了一下从fpga上下的曼彻斯特编解码的程序,感觉不是很清楚,仿真了一下,更迷茫了,大家看看为啥这程序要这么编呢? 程序比较长,不过写的应该还是不错的,看了后应该有收获。 总的思路是这样: 1 通过一个高频的时钟检测wrn信号,如果检测到上升沿,则表明开始编码,将输入的8位数据转为串行,并编码,然后输出。 2 定时信号是从高频时钟16分频后得到的,在wrn上升沿后16分频使能,在编码结束后禁止分频输出。 3 no
  3. 所属分类:通讯编程

    • 发布日期:2024-05-06
    • 文件大小:5120
    • 提供者:游畅
  1. Manchester

    0下载:
  2. 基于FPGA/CPLD,采用VHDL语言的曼彻斯特的编解码实现。还包含曼彻斯特码的说明文档。-Based on FPGA/CPLD, using VHDL language codec Manchester realize. Manchester code also includes documentation.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:175104
    • 提供者:周水斌
  1. Manchester-AVR

    0下载:
  2. Manchester码解码算法的AVR单片机实现-Manchester code decoding algorithm of the AVR Microcontroller
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-06
    • 文件大小:331776
    • 提供者:林永平
  1. Manchester

    0下载:
  2. “Manchester码(双相码)编码器- Manchester Code (two-phase code) encoder
  3. 所属分类:文件格式

    • 发布日期:2024-05-06
    • 文件大小:1024
    • 提供者:冯小晶
  1. manchester-code

    0下载:
  2. 曼彻斯特编码技术用电压的变化表示0和1。规定在每个码元中间发生跳变。高→ 低的跳变表示0,低→ 高的跳变表示为1。每个码元中间都要发生跳变,接收端可将此变化提取出来作为同步信号,使接收端的时钟与发送设备的时钟保持一致-Manchester coding techniques that use voltage changes in 0 and 1. Provisions in the middle of each symbol hoppi
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:91136
    • 提供者:魏伟
  1. Manchester

    0下载:
  2. 16 位 二进制 随机数 生产 函数和Manchester编码-16-bit binary random number production function and Manchester encoding
  3. 所属分类:数值算法/人工智能

    • 发布日期:2024-05-06
    • 文件大小:3072
    • 提供者:achun
  1. Manchester

    0下载:
  2. 曼彻斯特编解码源代码,还包含曼彻斯特码的说明文档-Manchester Encoder-Decoder
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:40960
    • 提供者:cst008
  1. Manchester

    0下载:
  2. 用matlab实现的曼彻斯特编码。50 占空比(可调)。绘制时域波形和频域功率谱密度。结果跟北邮的郭文斌的通信原理课件上的形状一模一样。-Manchester encoding with a matlab implementation. 50 duty cycle (adjustable). Draw time-domain waveform and frequency domain power spectral density. T
  3. 所属分类:matlab例程

    • 发布日期:2024-05-06
    • 文件大小:2048
    • 提供者:李刚
  1. Manchester

    1下载:
  2. 使用C语言编写的曼彻斯特编码和解码功能函数-Written in C language with Manchester encoding and decoding functions
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-06
    • 文件大小:1024
    • 提供者:firebire
  1. manchester

    0下载:
  2. 该程序主要是完成曼彻斯特码的,编码,同步,加噪,译码,计算误码率等功能。-the program main contain manchester code, synchronization, add the gauss noise and so on
  3. 所属分类:其他小程序

    • 发布日期:2024-05-06
    • 文件大小:155648
    • 提供者:hmg
  1. manchester

    0下载:
  2. verilog 实现manchester编解码,最高速率5mhz-verilog manchester code to achieve the highest rate of 5mhz
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:4096
    • 提供者:王红星
  1. manchester-coding

    1下载:
  2. 使用51单片机进行曼彻斯特编解码,编码程序中有同步头,结束位设置,解码有查找同步头,有效跳变检测等程序,内有proteus仿真原理图-With 51 single-chip codec to Manchester, there are sync, the end bit is set, decode sync with search, detection procedures are not effective, there prote
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-06
    • 文件大小:12288
    • 提供者:管俊波
  1. manchester

    0下载:
  2. 源码包含三个模块,数据发送模块是读取FIFO中的数据后,将并行数据转换为串行,同时对串行数据进行曼彻斯特编码输出。数据接收模块是对接收的数据进行曼彻斯特解码。FIFO控制器模块将接收的串行数据转换为并行,并存储。 曼彻斯特解码部分本文采用了过采样技术,使用了一个8倍时钟进行采样。每一个数据周期采样8次,每四次采样确定一个状态,如果采样到三次及以上高电平则认为是高状态,否则认为是低状态。状态由高到底则是数据0,由低到高则是状态1。-S
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-06
    • 文件大小:4096
    • 提供者:陈建
  1. nrz and manchester

    0下载:
  2. model simulink of manchester and nrz modulation
  3. 所属分类:其他小程序

    • 发布日期:2024-05-06
    • 文件大小:9216
    • 提供者:faycel
  1. manchester

    0下载:
  2. 关于曼彻斯特码和差分曼切斯特码在matlab中的程序实现,可运行(THE MANCHESTER OF MATLAB)
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-06
    • 文件大小:61440
    • 提供者:汤姆不苏
« 12 3 4 5 6 7 8 9 10 ... 22 »

源码中国 www.ymcn.org