搜索资源列表

  1. 8051ip

    0下载:
  2. fpga 51核,这个是我老师写的,现在就是输入输出io是分别定义的,希望能给大家提供一点帮助!-fpga 51 nuclear, this is written by my teacher, this is the input and output, respectively, the definition of io is the hope that we can provide a little help!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:13709312
    • 提供者:张双洋
  1. mc8051_Source

    0下载:
  2. ISE中文学习教程,节省你的学习时间,希望和你一起共享学习成果-ISE Chinese language tutorial to learn to save your time and I hope to share with you the learning outcomes
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:518144
    • 提供者:zhangjian
  1. 51

    0下载:
  2. MC8051可综合源代码,方便使用,简单易懂-mc8051 syphily core
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-20
    • 文件大小:1922048
    • 提供者:lucy
  1. 8051IP

    0下载:
  2. mc8051 IP Core源代码供学习和研究,严禁用于商业目的-mc8051 IP Core source code available for study and research for commercial purposes is strictly prohibited
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:98304
    • 提供者:林空
  1. mc8051

    0下载:
  2. 用VHDL在FPGA上实现8051内核,代码简单,易懂-Implemented on FPGA using VHDL in the 8051 core, the code is simple, easy to understand
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:394240
    • 提供者:yang fa sheng
  1. mc8051

    0下载:
  2. CPU51核,Quartus环境下运行,需要的可以下载试一试-CPU51 core, Quartus environment to run, need to try to download
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:13159424
    • 提供者:wangying
  1. 12864

    0下载:
  2. 在FPGA中嵌入MC8051内核,并用此8位控制器驱动液晶12864。-MC8051 embedded in the FPGA core, and with this 8-bit LCD controller driver 12864.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:12023808
    • 提供者:张健
  1. shiyanbaogao

    0下载:
  2. 了解ISE平台的基本环境,编译程序,在MC8051 IP核中,要求实现:增加PLL锁相环,扩大内部RAM,定时器,串口和外部中断等资源,并增加乘法器和除法器的功能。-ISE platform to understand the basic environment, compiler, the MC8051 IP core, the requirement to achieve: increased PLL phase-locked lo
  3. 所属分类:串口编程

    • 发布日期:2024-05-20
    • 文件大小:149504
    • 提供者:liujia
  1. mc8051

    0下载:
  2. 这是个51程序各个单元的代码,可以独立的调试,非常方便初学者学。-This is a 51 unit code of each program can be independent of the debugging is very easy to learn for beginners.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-20
    • 文件大小:393216
    • 提供者:吴德宏
  1. mc8051_cyclone_nios

    0下载:
  2. mc8051 v1.4 oregano VHDL core for the Altera Cyclone Nios evaluation board.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2000896
    • 提供者:mapppler
  1. mc8051_siu

    0下载:
  2. mc8051中 源码串口单元输入输出vhdl语言设计-mc8051 vhdl code, Descr iption: Serial interface unit for the mc8051 microcontroller.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:Laura Ku
  1. ygyTest

    0下载:
  2. 利用开源网站上的8051核,在Spartan 3A开发板上实现成功,开发环境是Xilinx ISE Design Suite 12.3,顶层文件基于原理图开发,扩展了外部ROM和RAM,且更改了地址宽度-implment the mc8051 IP in spartan-3A FPGA starten kit.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:18179072
    • 提供者:杜春城
  1. mc8051-IP

    0下载:
  2. VHDL 8051 IP, VHDL写的8051的IP核。-VHDL 8051 IP
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:394240
    • 提供者:Bob
  1. C51

    0下载:
  2. mc8051在xilinx nexys3上的移植,包括ROM和RAM-mc8051 xilinx nexys3
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:3167232
    • 提供者:汪伟
  1. mc8051_design

    0下载:
  2. MC8051 IP core.由Oregano Systems提供。初学者可以学习一下。-MC805 1IP CORE,provided by Oregano Systems.It s helpful for beginners.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:446464
    • 提供者:123
  1. MC8051-Kernel

    0下载:
  2. VHDL语言 8051内核以及使用方法(含使用说明)-8051 core, and the use of the VHDL language (including instructions)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:275456
    • 提供者:刘维东
  1. MC8051

    0下载:
  2. FPGA的8051 IP核,完整的工程,直接用Keil编写好单片机代码后将生成的HEX文件放进FPGA的ROM里面,便可使用,比51单片机速度更快!-FPGA-8051 IP core, the complete works directly with Keil to write a good microcontroller code will be generated HEX file into the FPGA-ROM which
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2997248
    • 提供者:laiqingsong
  1. 8051-vhdl

    0下载:
  2. 向比MC8051,C8051的书写更加工整,更加适合处理设计的学习,并且多一个调试单元,绝对震撼!-To than the MC8051, C8051 write more neatly, more suited to deal with the design of the study, and a debug unit, absolutely shocked!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:759808
    • 提供者:xumeng
  1. mc8051zl

    0下载:
  2. 该资料详细描述了mc8051核的构成和用法,读者可根据其说明自行完成mc8051的运用。-The information detailed descr iption of the the mc8051 nuclear composition and usage, readers may be completed in accordance with its instructions to the use of mc8051.
  3. 所属分类:书籍源码

    • 发布日期:2024-05-20
    • 文件大小:415744
    • 提供者:liushiqi
  1. mc8051_design_v1.6

    0下载:
  2. mc8051_cyclone_nios_designflow,MC8051 IP Core Oregano Systems 8-bit Microcontroller IP-Core
  3. 所属分类:书籍源码

    • 发布日期:2024-05-20
    • 文件大小:227328
    • 提供者:duythanh
« 1 2 34 »

源码中国 www.ymcn.org