搜索资源列表

  1. mxulie

    0下载:
  2. 在matlab下产生m序周期,初态,特征式可以在主程序中自己设计.-under the Matlab m sequence cycle, the initial state, in the style of their own main program design.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:897
    • 提供者:hongtao
  1. mxulie

    0下载:
  2. 简单的m序列产生办法(存储m序列,再读出)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:16935
    • 提供者:陈明尚
  1. mxulie

    0下载:
  2. 用matlab产生m序列的程序,很好的程序,好不容易找到的,可以下载.
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:894
    • 提供者:hong
  1. M

    0下载:
  2. mxulie,产生m序列的原程序,doc格式
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4765
    • 提供者:simonahu
  1. mxulie

    0下载:
  2. M-序列模糊函数,其中包含模糊函数,频移差为0和时移差为0时的函数图形。
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1174
    • 提供者:汪洋
  1. mxulie

    1下载:
  2. 此函数实现了M序列发生器的matlab实现
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:838
    • 提供者:棒棒糖
  1. mxulie

    0下载:
  2. 在matlab下产生m序周期,初态,特征式可以在主程序中自己设计.-under the Matlab m sequence cycle, the initial state, in the style of their own main program design.
  3. 所属分类:书籍源码

    • 发布日期:2024-06-12
    • 文件大小:1024
    • 提供者:hongtao
  1. mxulie

    0下载:
  2. 简单的m序列产生办法(存储m序列,再读出)-Simple method for the selection of m sequences (m sequences stored, read out)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-12
    • 文件大小:16384
    • 提供者:陈明尚
  1. mxulie

    0下载:
  2. 用matlab产生m序列的程序,很好的程序,好不容易找到的,可以下载.-M sequences generated by matlab procedures, good procedures, hard to find, and can be downloaded.
  3. 所属分类:通讯编程

    • 发布日期:2024-06-12
    • 文件大小:1024
    • 提供者:hong
  1. M

    0下载:
  2. mxulie,产生m序列的原程序,doc格式-mxulie, produced the original m sequence of procedures, doc formats
  3. 所属分类:软件工程

    • 发布日期:2024-06-12
    • 文件大小:5120
    • 提供者:simonahu
  1. mxulie

    0下载:
  2. M-序列模糊函数,其中包含模糊函数,频移差为0和时移差为0时的函数图形。-M-sequence ambiguity function, which includes fuzzy function, frequency shift difference for the 0 and times have changed for the worse 0:00 a function of graphics.
  3. 所属分类:Windows编程

    • 发布日期:2024-06-12
    • 文件大小:1024
    • 提供者:汪洋
  1. mxulie

    0下载:
  2. 此函数实现了M序列发生器的matlab实现-This function has M sequence generator matlab to achieve
  3. 所属分类:其他小程序

    • 发布日期:2024-06-12
    • 文件大小:1024
    • 提供者:棒棒糖
  1. mxulie

    0下载:
  2. 一个很简单的m序列产生过程,很容易掌握的。-m-sequences generated by matlab procedures, good procedures, hard to find, and can be downloaded.
  3. 所属分类:其他小程序

    • 发布日期:2024-06-12
    • 文件大小:1024
    • 提供者:肖儿
  1. Mxulie

    0下载:
  2. matlab,vc++产生M序列,应用于系统辨识-matlab, vc++ generated M sequence, used in system identification
  3. 所属分类:邮电通讯系统

    • 发布日期:2024-06-12
    • 文件大小:86016
    • 提供者:lmq
  1. Mxulie

    0下载:
  2. C++下实现M序列的程序,用于验证和调试!-C++ program to achieve M sequence, for verification and debugging!
  3. 所属分类:Windows编程

    • 发布日期:2024-06-12
    • 文件大小:1024
    • 提供者:周志磊
  1. mxulie

    0下载:
  2. 利用MATLAB产生m序列 产生m序列图形 这是一个m序列的MATLAB产生程序-Sequence generated using MATLAB m m sequence generated graphics This is a MATLAB m sequence generation process
  3. 所属分类:matlab例程

    • 发布日期:2024-06-12
    • 文件大小:4096
    • 提供者:于翩
  1. mxulie

    0下载:
  2. 自己做的一个基于c++做的一个M序列,支持各种长度的M序列,绝对可也运行。-Based on their own to do a c++ to do a M series, support the M sequences of various lengths, the absolute can also run.
  3. 所属分类:C#编程

    • 发布日期:2024-06-12
    • 文件大小:1024
    • 提供者:贺志恒
  1. Mxulie

    0下载:
  2. 产生M序列,可以作为系统的输入,经过系统辨识后得到输出,进而得到系统的传递函数-M sequences generated can be used as the input, output obtained through system identification, and further to the transfer function
  3. 所属分类:matlab例程

    • 发布日期:2024-06-12
    • 文件大小:1024
    • 提供者:王伟航
  1. mxulie

    0下载:
  2. 基于FPGA的M序列的产生,论文资料,解释清楚-M-sequence based on the generation of FPGA, the paper information, to explain
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-12
    • 文件大小:290816
    • 提供者:rei
  1. Verilog-Mxulie

    0下载:
  2. 用Verilog编的M序列代码,用的是移位发生器的思想,即循环移动并用后来的数值取代-M-sequence code in Verilog code, using the shift generator the idea that the circulation moving and replaced with the later values
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-12
    • 文件大小:6144
    • 提供者:kongxiangw
« 12 »

源码中国 www.ymcn.org