搜索资源列表

  1. rs-codec-8-16

    1下载:
  2. 这是一个rs译码器的verilog程序运行于quatus-This is a rs decoder running on Verilog quatus
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:132096
    • 提供者:yuanfeng
  1. Crack_QII72_b151

    0下载:
  2. Crack_QII72_b151 is quatus ii used for fpga.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:7171
    • 提供者:hewen1983
  1. taxi

    0下载:
  2. 在Quatus下用VerilogHDL语言编写,实现出租车计价器功能
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:381148
    • 提供者:baohaitao
  1. RS232

    0下载:
  2. quatus II 环境下vhdl实现RS232功能
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:437869
    • 提供者:王艳华
  1. Quartusii

    0下载:
  2. 郑亚民版的可编程逻辑器件开发软件quatus ii里的一些例程,对初学者很有帮助。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:9295664
    • 提供者:王廷龙
  1. seven_segment

    0下载:
  2. 以QuatusⅡ为平台,用HVDL语言实现7段数码管译码器的功能。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:101341
    • 提供者:cheng sonja
  1. LOCK

    1下载:
  2. 以QuatusⅡ为平台,采用VHDL语言实现数字密码锁的功能,可以仿真实现。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:188061
    • 提供者:cheng sonja
  1. DE2_with_VGA_LCM

    0下载:
  2. altera de2 开发板 vga lcd控制quatus 工程
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2924382
    • 提供者:李志
  1. rs-codec-8-16

    0下载:
  2. 这是一个rs译码器的verilog程序运行于quatus-This is a rs decoder running on Verilog quatus
  3. 所属分类:其他小程序

    • 发布日期:2024-06-05
    • 文件大小:133120
    • 提供者:yuanfeng
  1. Crack_QII72_b151

    0下载:
  2. Crack_QII72_b151 is quatus ii used for fpga.
  3. 所属分类:其他小程序

    • 发布日期:2024-06-05
    • 文件大小:7168
    • 提供者:hewen1983
  1. taxi

    0下载:
  2. 在Quatus下用VerilogHDL语言编写,实现出租车计价器功能-VerilogHDL in Quatus using language to achieve functional Taximeter
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:380928
    • 提供者:baohaitao
  1. RS232

    0下载:
  2. quatus II 环境下vhdl实现RS232功能-quatus II environment realize RS232 VHDL functional
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:437248
    • 提供者:王艳华
  1. Quartusii

    0下载:
  2. 郑亚民版的可编程逻辑器件开发软件quatus ii里的一些例程,对初学者很有帮助。-Asia and China Zheng version of programmable logic device quatus ii software development, some routines useful for beginners.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:9295872
    • 提供者:王廷龙
  1. seven_segment

    0下载:
  2. 以QuatusⅡ为平台,用HVDL语言实现7段数码管译码器的功能。-Quatus Ⅱ as a platform to use language to achieve HVDL digital tube 7 decoder functions.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-05
    • 文件大小:101376
    • 提供者:cheng sonja
  1. LOCK

    0下载:
  2. 以QuatusⅡ为平台,采用VHDL语言实现数字密码锁的功能,可以仿真实现。-To Quatus Ⅱ as a platform, the use of VHDL language digital code lock function, you can realize simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:187392
    • 提供者:cheng sonja
  1. DE2_with_VGA_LCM

    0下载:
  2. altera de2 开发板 vga lcd控制quatus 工程-altera de2 board vga lcd control quatus works
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:2924544
    • 提供者:李志
  1. Digital_filterin_code

    0下载:
  2. MATLAB辅助设计数字滤波器源代码,QUATUS II 实现!-MATLAB-aided design of digital filter source code, QUATUS II implementation!
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-06-05
    • 文件大小:66560
    • 提供者:五木
  1. Quartus_Common_Error_And_Warning_Analyze

    0下载:
  2. Quatus常见错误汇总与分析 该文章来源 :一是来自网上几处出处的汇总 二是来自作者本人应用过程中遇到的问题。 可以帮助大家解决烦人的quartus警告和error 仅供参考 -Summary and analysis of common mistakes Quatus the article Source: First, a summary of provenance from the Internet a
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:15360
    • 提供者:龙也
  1. vhdl_sram_ctrl

    0下载:
  2. Sycronous SRAM in CPLD or FPGA module... tested by Altera MaxPlusII or Quatus -Sycronous SRAM in CPLD or FPGA module... tested by Altera MaxPlusII or Quatus II
  3. 所属分类:其他小程序

    • 发布日期:2024-06-05
    • 文件大小:1024
    • 提供者:hanhyunjin
  1. PIPE_LINING_CPU_TEAM_24

    0下载:
  2. 采用Quatus II编译环境,使用Verilog HDL语言编写实现了五段流水线CPU。 能够完成以下二十二条指令(均不考虑虚拟地址和Cache,并且默认为小端方式): add rd,rs,rt addu rd,rs,rt addi rt,rs,imm addiu rt,rs,imm sub rd,rs,rt subu rd,rs,rt nor rd,rs,rt xori rt,rs,imm clo rd,rs clz
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:4946944
    • 提供者:
« 12 3 »

源码中国 www.ymcn.org