搜索资源列表

  1. 51单片机RAM移动实验

    0下载:
  2. 51单片机RAM移动实验
  3. 所属分类:源码下载

    • 发布日期:2010-10-19
    • 文件大小:13850
    • 提供者:qq765218805
  1. 外部扩展RAM测试

    0下载:
  2. 用C51读写外部扩展存储器RAM
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. ram

    0下载:
  2. verilog写双端口存储器模型-a Model of Writing Double-Port RAM developed with Verilog
  3. 所属分类:Windows编程

    • 发布日期:2024-05-05
    • 文件大小:1024
    • 提供者:杨艳
  1. RAM掉电保护电路的设计

    0下载:
  2. RAM掉电保护电路--Design of RAM power-off protection circuit.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-05
    • 文件大小:89088
    • 提供者:陈光春
  1. RAM读写程序共享

    0下载:
  2. TI公司DM642 DSP的RAM读写驱动程序例程-TI DM642 DSP RAM read and write routines Driver
  3. 所属分类:DSP编程

    • 发布日期:2024-05-05
    • 文件大小:88064
    • 提供者:李力
  1. 双口RAM硬件和软件可靠性握手的实现

    0下载:
  2. 双口RAM硬件和软件可靠性握手的实现 双口RAM硬件和软件可靠性握手的实现-dual-port RAM reliability of the hardware and software to shake hands with the dual port RAM hardware and software to achieve the reliability handshake
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-05
    • 文件大小:97280
    • 提供者:笑千秋
  1. ram

    0下载:
  2. 本原代码中利用VHDL语言编写了RAM、FIFO、ROM等常用的存储和缓冲部件,完全的代码在ALTERA的FPGA上已经通过仿真测试,保证可用.-primitive code using VHDL prepared RAM, FIFO, ROM, and other commonly used storage and buffer components, complete code in the Altera FPGA simulati
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:2048
    • 提供者:nick
  1. ram

    0下载:
  2. VHDL 编写的RAM例子-RAM prepared VHDL example
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:2048
    • 提供者:王攀
  1. RAM

    0下载:
  2. 设计一个存储容量为28×8的RAM-Design a storage capacity of 28 × 8 of the RAM
  3. 所属分类:其他小程序

    • 发布日期:2024-05-05
    • 文件大小:1024
    • 提供者:wenhao sun
  1. fifo-ram

    0下载:
  2. 采用Verilog语言描述的FIFO和双端口RAM源代码。-Verilog language used to describe the FIFO and dual-port RAM source code.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-05
    • 文件大小:1024
    • 提供者:蒋大为
  1. ram

    0下载:
  2. RAM, Random-access memory,Verilog code-RAM, Random-access memory, Verilog code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:14336
    • 提供者:leigh lee
  1. ram

    0下载:
  2. fpga中ram的vhdl的经典程序,适用于ALTERA公司器件-FPGA in VHDL ram the classic procedure, applicable to the company ALTERA devices
  3. 所属分类:中间件编程

    • 发布日期:2024-05-05
    • 文件大小:1024
    • 提供者:gcy
  1. 2214test-ram

    0下载:
  2. LPC2200系列的RAM测试程序,已改进,当RAM有故障会,急声报警;正常这按心跳频率发声。 改地址也可以用来有效测试FLASH-err
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-05
    • 文件大小:68608
    • 提供者:zengguibo
  1. RAM

    0下载:
  2. 双口RAM的应用-Application of dual-port RAM
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-05
    • 文件大小:168960
    • 提供者:puppy
  1. RAM

    0下载:
  2. 双口RAM与PXI总线接口设计,包括接口控制。-Dual-port RAM with PXI bus interface design, including interface control.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:1216512
    • 提供者:zwt
  1. RAM

    0下载:
  2. 用VerilogHDL写的ram程序,对初学者会有帮助。-Writing the ram with VerilogHDL procedures will be helpful for beginners.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:271360
    • 提供者:Blakeu
  1. ram

    0下载:
  2. RAM存储器的源程序,可以试一试,看看好不好用-OH
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:150528
    • 提供者:mars343
  1. ram

    0下载:
  2. a 16 by 4 ram is used for many applications as a basic component such as fifo and stack etc
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:1024
    • 提供者:sri
  1. FPGA-TWO-RAM

    0下载:
  2. 这样就可以在FPGA内实现双口RAM了-This can be achieved in the FPGA dual-port RAM
  3. 所属分类:其他小程序

    • 发布日期:2024-05-05
    • 文件大小:4096
    • 提供者:zhan
  1. ram

    0下载:
  2. 一些设用vhdl设计ram的资料,请下载看看吧-Vhdl design with a number of ram-based information, please download to see it
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:18432
    • 提供者:陳彥丞
« 12 3 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org