搜索资源列表

  1. rcvr

    0下载:
  2. 异步串行通信接受单元的设计源程序 异步串行通信接受单元的设计源程序
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1376
    • 提供者:远方
  1. rcvr

    0下载:
  2. 异步串行通信接受单元的设计源程序 异步串行通信接受单元的设计源程序-Asynchronous serial communication module designed to accept source asynchronous serial communication module designed to accept source code
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-16
    • 文件大小:1024
    • 提供者:远方
  1. UART

    0下载:
  2. 利用Verilog实现一个UART接口,包含三个源文件rcvr.v\txmit.v\uart.v -Verilog realization of the use of a UART interface, the source file contains three rcvr.v \ txmit.v \ uart.v
  3. 所属分类:串口编程

    • 发布日期:2024-06-16
    • 文件大小:2048
    • 提供者:speed
  1. waterf

    0下载:
  2. Waterfall plot matlab function. Plots the waveforms in X(time,rcvr) vs. "time".Each trace is auto scaled so that the peak-to-peak value is at most 1.0 then each trace is offset by 1 unit.-Waterfall plot matlab function
  3. 所属分类:matlab例程

    • 发布日期:2024-06-16
    • 文件大小:1024
    • 提供者:Cagdas
  1. 8051f

    0下载:
  2. 80c51的一些代码, 希望对初学者有一定的帮助,此程序一运行。-SCON = 0x50 /* SCON: mode 1, 8-bit UART, enable rcvr */ TMOD |= 0x20 /* TMOD: timer 1, mode 2, 8-bit reload */ TH1 = 221
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-16
    • 文件大小:1024
    • 提供者:孙艳玲
  1. rcvr

    0下载:
  2. verilog的串口接收程序,有详细注释,适合学习-verilog serial port to receive the program, there are detailed notes, suitable for learning
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:1024
    • 提供者:吕攀攀

源码中国 www.ymcn.org