搜索资源列表

  1. NDimensionalCardinal(CatmullRom)SplineInterpolatio

    0下载:
  2. N-Dimensional Cardinal(Catmull-Rom) Spline Interpolation
  3. 所属分类:数值算法/人工智能

    • 发布日期:2024-05-04
    • 文件大小:14336
    • 提供者:肖才子
  1. emny

    0下载:
  2. cpld/fpga vhdl语言rom 引用的简单例子-cpld/fpga vhdl language rom cited a simple example
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:400384
    • 提供者:chen
  1. CD-Rom

    0下载:
  2. vb 光驱控制 可以控制计算机光驱开关-vb drive control switch can control a computer CD-ROM
  3. 所属分类:系统编程

    • 发布日期:2024-05-04
    • 文件大小:14336
    • 提供者:李琴
  1. AbrirCerrar_CD-Rom

    0下载:
  2. Behavior Lingo que permite abrir-cerrar el CD-ROM de la PC desde una multimedia en Macromedia Director.
  3. 所属分类:多媒体编程

    • 发布日期:2024-05-04
    • 文件大小:1024
    • 提供者:RuberHG
  1. YLP2450_DVK_USER_CD_V13

    0下载:
  2. S3C2450开发板的全套光盘,包括OrCAD格式原理图、PADS格式封装库、BSP源码包、烧录下载工具和软件,用户手册,编程文档和芯片规格书等等。-S3C2450 development board complete set of CD-ROM format, including OrCAD schematics, PADS format package library, BSP source package, burn downlo
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-04
    • 文件大小:33401856
    • 提供者:cmosttl
  1. ROM

    0下载:
  2. ROM在FPGA内的实现方法,简单的例程-ROM
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:321536
    • 提供者:zhou
  1. BlueCore6-ROMdatasheet

    0下载:
  2. Full datasheet for CSR BlueCore6-ROM. Advance Information Data Sheet for BC63B239A September 2007
  3. 所属分类:DSP编程

    • 发布日期:2024-05-04
    • 文件大小:1720320
    • 提供者:mika000
  1. BS

    0下载:
  2. 用EDA设计ROM和RAM及其应用,用VHDL语言编程实现字符、汉字的存取并用点阵显示-ROM and RAM design with the EDA and its applications, using VHDL programming language characters, Chinese characters, access to and use dot-matrix display
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:13039616
    • 提供者:黄奇家
  1. rom

    0下载:
  2. 基于51单片机在keil下编程,rom初始化程序-51 MCU-based programming in keil under, rom initialization procedure
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-04
    • 文件大小:10240
    • 提供者:hu
  1. LFSR

    0下载:
  2. verilog实现的8阶伪随机序列发生器,文件包含了三种主要模块:控制模块,ROM模块,线性反馈移位寄存器(LFSR)模块。已经通过modelsim仿真验证。-verilog to achieve 8-order pseudo-random sequence generator, the file contains three main modules: control module, ROM modules, a linear fee
  3. 所属分类:Windows编程

    • 发布日期:2024-05-04
    • 文件大小:870400
    • 提供者:风影
  1. P505_V31371_ITA

    0下载:
  2. Boot loader and Rom asus P505 Palm.
  3. 所属分类:Windows Mobile

    • 发布日期:2024-05-04
    • 文件大小:28565504
    • 提供者:Pal620poc
  1. VHDL(sin)

    0下载:
  2. 基于ROM的正弦波发生器的设计 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习基于ROM的正弦波发生器的设计 二.实验内容 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:17408
    • 提供者:爱好
  1. ROM

    0下载:
  2. FPGA内部储存,源代码。 FPGA内部储存,源代码。-FPGA
  3. 所属分类:数据库系统

    • 发布日期:2024-05-04
    • 文件大小:1315840
    • 提供者:tandongfei
  1. proteus

    0下载:
  2. Proteus6.7 是目前最好的模拟单片机外围器件的工具,真的很不错。可以仿真 51 系列、AVR,PIC 等常用的 MCU 及其外 围电路(如 LCD,RAM,ROM,键盘,马达,LED,AD/DA,部分 SPI 器件,部分 IIC 器件 -Proteus6.7 is the best tool for simulation of microcontroller peripherals, really good. Can b
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-04
    • 文件大小:1352704
    • 提供者:杨云
  1. eeprom

    0下载:
  2. NRF2401和单片机连接的情况下,Rom的读写程序。-NRF2401 and MCU connection case, Rom literacy program.
  3. 所属分类:DSP编程

    • 发布日期:2024-05-04
    • 文件大小:1024
    • 提供者:jugg..
  1. rom

    0下载:
  2. 用VHDL编写一个具有正弦波形产生功能的rom.-Written with VHDL generate a sine function of rom.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-04
    • 文件大小:434176
    • 提供者:王一
  1. OpenCD-Rom

    0下载:
  2. open cd-rom delphi 7 program
  3. 所属分类:Dephi控件源码

    • 发布日期:2024-05-04
    • 文件大小:161792
    • 提供者:sadegh
  1. ROM

    0下载:
  2. 用于rom的存入地址,尽可能地增加稳定性-Rom the stored address for as much as possible to increase stability
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:2048
    • 提供者:郑国
  1. SPI_controller

    1下载:
  2. SPI serial flash ROM的verilog源代码, 针对winbond W25x16,已经经过逻辑验证,并实际用在芯片设计中,作为一个模块,正常工作.-SPI serial flash ROM in verilog source code for winbond W25x16, logic has been verified, and actually used in chip design, as a module to
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:8192
    • 提供者:Jerd Hu
  1. ROM

    0下载:
  2. 12将ROM中的数通过按键切换独个显示在数码管上.-12 of the ROM in a few key switch alone were displayed by the digital pipe.
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-05-04
    • 文件大小:11264
    • 提供者:wangyufu
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »

源码中国 www.ymcn.org