搜索资源列表

  1. 电子元器件绘制系统

    1下载:
  2. “电子元器件绘制系统”执行说明: 1、 光盘目录vc6.0\chapter2\bin\DrawChip.exe为本系统的可执行文件。 2、 光盘目录vc6.0\chapter2\src\DrawChip\*.*为本系统的VC源程序。 “电子元器件绘制系统”如何编译: 直接编译,生成的可执行文件放在 vc6.0\chapter2\bin\目录下,名称为DrawChip.exe。-"electronic mapping syste
  3. 所属分类:Windows编程

    • 发布日期:2024-05-04
    • 文件大小:3895296
    • 提供者:徐夕
  1. 用C51实现MCS51系列单片机外接ROM扩充

    0下载:
  2. 用C51实现MCS51系列单片机外接ROM扩充--Using C51, it implements external ROM expansion for MCS51 series SCM.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-04
    • 文件大小:71680
    • 提供者:黎劲
  1. 串口ROM

    0下载:
  2. 串口ROM编程,内部包括了I2C总线的许多内容,启动总线,结束总线-Serial ROM programming, including the internal I2C Bus many elements, initiated bus, the end of bus
  3. 所属分类:串口编程

    • 发布日期:2024-05-04
    • 文件大小:1024
    • 提供者:ZZ
  1. 游戏的ROM

    0下载:
  2. 这里是游戏的ROM想玩游戏的话还是玩这样的游戏,是一个好游戏,看看吧,挺好的-ROM want to play the game the game or playing the game is a good game, let's see it, in very good shape;
  3. 所属分类:其他游戏

    • 发布日期:2024-05-04
    • 文件大小:29663232
    • 提供者:小河
  1. ieee1394diag-1.0.3

    0下载:
  2. IEEE1394Diag is a GUI application that presents a graphical view of an IEEE1394 network and provides the ability to perform common 1394 operations such as async reads, writes, isoc listens and talks, as well as configura
  3. 所属分类:驱动编程

    • 发布日期:2024-05-04
    • 文件大小:771072
    • 提供者:楊智斐
  1. datarom

    0下载:
  2. 该源码为几个正弦ROM,已经编译并通过,可以直接下载,不需要,内部含有正弦ROM表,还有ROM的宏模块-the source for several sine ROM, has been compiled and passed, can be directly downloaded, not internal ROM containing sine table, the Acer ROM module
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:243712
    • 提供者:刘恒辉
  1. LED点阵

    0下载:
  2. 大屏幕led点阵显示的驱动时序。 使用vhdl语言描述。其中rom文件可以使用lpm_megcore自动生成。-big screen led to the dot matrix display driver timing. The use of VHDL descr iption language. Rom which documents can be automatically generated using lpm_megcore.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:4096
    • 提供者:王卫
  1. RecognizeAward

    0下载:
  2. rom映象识别程序,可以识别出AMI,PHOENIX,AWARD的BIOS映象-rom image identification procedures, can be identified AMI, PHOENIX, AWARD BIOS image
  3. 所属分类:其他小程序

    • 发布日期:2024-05-04
    • 文件大小:95232
    • 提供者:
  1. Intel汇编语言程序设计(第四版)[配套光盘]

    0下载:
  2. 此为本书配套光盘.本书主要内容包括:微型计算机基础知识、IBM-PC微型计算机系统概述、汇编语言程序设计基本方法、三大结构程序设计、子程序设计、汇编语言程序设计等内容。 -this book, CD-ROM-based. The major elements include : micro-computer knowledge, IBM-PC micro-computer system overview, assembly langua
  3. 所属分类:书籍源码

    • 发布日期:2024-05-04
    • 文件大小:13939712
    • 提供者:陶立欣
  1. AM29LV160编程源代码可以作为Flash擦写程序的典范

    0下载:
  2. 该程序代码实现对Flash Rom AM29LV160烧写数据的功能-code to achieve the right Flash Rom AM29LV160 burning data functions
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-04
    • 文件大小:3072
    • 提供者:
  1. ia64

    0下载:
  2. 网卡 BOOT ROM 的 64 住源程序。模块有:核心代码、H文件、网卡驱动程序、头代码文件。-card BOOT ROM of the 64 living source. Modules are : core code, H, NIC drivers, the first source document.
  3. 所属分类:Internet/网络编程

    • 发布日期:2024-05-04
    • 文件大小:47104
    • 提供者:刘老师
  1. AT91RM9200-BasicROM_Services_BootLoader

    0下载:
  2. This zip file shows the use ROM Services in order to speed up an application and reduce its code size. It shows how to load a method of the Xmodem service and obtain a first level bootloader. Includes main.html file for
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-04
    • 文件大小:36864
    • 提供者:张爽
  1. blockram

    0下载:
  2. 本人正在学习vhdl语言,买了套开发板,这些是配套光盘里的内容,非常难得,网上找不到的-I was learning VHDL language, bought a set of development boards, which are compatible CD-ROM's content, and very rare. not online! !
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:21504
    • 提供者:孙强
  1. CdromEjCl

    0下载:
  2. DVD/CD-ROM 弹开/关闭 1.自动检测出所有的DVD/CD-ROM驱动器,包括虚拟光驱。 2. 对指定的驱动器,弹开/关闭,非常有效! 3. 对虚拟光驱的虚拟光盘,似乎可以“弹出”-DVD/CD-ROM bounce backward/off one. Automatically detect all the DVD/CD-ROM drive actuator, including virtual drives. 2
  3. 所属分类:系统编程

    • 发布日期:2024-05-04
    • 文件大小:26624
    • 提供者:szh
  1. FlashROM

    0下载:
  2. Flash ROM驱动示例 Intel Flash芯片 i28f160,i28f320: -Flash ROM drive examples i28f160 Intel Flash chips, i28f320 :
  3. 所属分类:驱动编程

    • 发布日期:2024-05-04
    • 文件大小:2048
    • 提供者:y
  1. nbfdec

    0下载:
  2. nbfdec是可以把PPC上的rom由nbf转换为nba文件工具,支持自动查询解密码。此为源码。-nbfdec PPC it is possible for the rom by nbf file conversion tools for Houston, automatic support for a secret code. For this source.
  3. 所属分类:Windows CE

    • 发布日期:2024-05-04
    • 文件大小:6144
    • 提供者:fossilth
  1. Verilog-HDL

    0下载:
  2. 本CD-ROM包括《Verilog-HDL实践与应用系统设计》一书中的全部例子,这些例子全部通过了验证。第七章以后的设计实例,不仅有Verilog-HDL的例子,也附了包括VB、VC++等源程序,甚至将DLL的生成方法也详尽地作了说明。 -the CD-ROM include "Verilog-HDL Practice and Application System Design," a book the whol
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:784384
    • 提供者:东子
  1. convrom1

    0下载:
  2. * PC Bios ROM font extractor * Note: ascent field of produced C file must be hand-editted-* PC Bios ROM font extractor* Note : ascent field of produced C file must be hand-Sa'edi tted
  3. 所属分类:WEB源码

    • 发布日期:2024-05-04
    • 文件大小:2048
    • 提供者:hmx
  1. ROM_Backup

    0下载:
  2. Rom Backup Tool for PPC, be sure to backup all data before use this tools-Rom Backup Tool for PPC. be sure to backup all data before use this tools
  3. 所属分类:其他小程序

    • 发布日期:2024-05-04
    • 文件大小:17408
    • 提供者:khwm2005
  1. PinYin_InputMethod_C51

    0下载:
  2. 用C51实现的拼音输入法,这是改写的网友 embuffalo、独步上载在www.21ic.com自由发布区的由张凯原作的51上的拼音输入法程序。 原作使用了一个二维数组用以查表,我认为这样比较的浪费空间,而且每个字表的索引地址要手工输入,效率不高。所以我用结构体将其改写了一下。就是大家现在看到的这个。 因为代码比较的大,共有6,000多汉字,这样就得要12,000 byte来存放GB内码,所以也是没办法的 :-( 编译
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-04
    • 文件大小:14336
    • 提供者:Jawen
« 1 2 3 45 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org