搜索资源列表

  1. seven

    0下载:
  2. seven.vhd 七人表决器VHDL源码 七人表决器.doc 程序说明-seven.vhd seven votes for VHDL source code for seven votes. A descr iption of the procedures for doc
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4415
    • 提供者:杨奎元
  1. seven

    0下载:
  2. seven.vhd 七人表决器VHDL源码 七人表决器.doc 程序说明-seven.vhd seven votes for VHDL source code for seven votes. A descr iption of the procedures for doc
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:4096
    • 提供者:杨奎元
  1. qiduan

    0下载:
  2. 七段数码管显示程序,用VHDL语言编译的-Seven-Segment LED display program, compiled using VHDL language
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-04-29
    • 文件大小:433152
    • 提供者:史乐
  1. shuzixitongshiyan

    0下载:
  2. 这个给QuartusII初学者用的,里面很清楚的通过几个例子来告诉怎么运用QuartusII. 实验1:Quartus入门 实验2:简单的组合逻辑电路设计 实验3:七段数码管显示 实验4:BCD码显示及运 实验5:触发器和计数器 实验6:存储器的设计 实验7:基于DE2 的SOPC系统开发附录:-This QuartusII beginners to use, which is very clear thro
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:754688
    • 提供者:yulieyar
  1. 2

    0下载:
  2. 20071121二进制通讯七段码显示OK2 0071121二进制通讯七段码显示OK-20071121 binary communications OK2 0071121 Seven-Segment display binary code communication and seventh code shows OK
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-04-29
    • 文件大小:20480
    • 提供者:
  1. tempcont

    0下载:
  2. AT89S52 单片机做CPU处理器处理控制,使用 DS18B20 集成温度传感器采集温度数据,七段数码管做显示,可以显示当前的温度值,并且可以设定一个上限温度值并保存在 DS18B20 中,可以调节所要限定的温度值。还设计了一路继电器控制,超出设定温度时继电器被驱动吸合,外电路中的降温风扇开始工作并发出警报,温度低于设定温度后,继电器自动断开风扇停止工作,警报解除。-AT89S52 Single-chip processor to d
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-04-29
    • 文件大小:4096
    • 提供者:武辉
  1. 7z457

    0下载:
  2. seven zip源代码,支持7zip、rar、rpm、zip的压缩解压-seven zip source code, support for 7zip, rar, rpm, zip compression decompression
  3. 所属分类:压缩解压

    • 发布日期:2024-04-29
    • 文件大小:1289216
    • 提供者:刘少麟
  1. EEPROMpresentation

    0下载:
  2. 利用拨码开关为可编程器件输入读写命令和相应的地址、数据,8051读入可编程器件设定的命令字并根据可编程器件的设置进行读写操作,读出来的数据通过P0输出给可编程器件,并由可编程器件控制七段数码管显示。(Verilog+单片机)-DIP switch for the use of programmable devices to read and write command input and the corresponding addres
  3. 所属分类:汇编语言

    • 发布日期:2024-04-29
    • 文件大小:3072
    • 提供者:辛颖
  1. 7led

    0下载:
  2. 一个最大公约数七段显示器编码VHDL代码设计-Seven-Segment display a common denominator coding VHDL code design
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:3072
    • 提供者:linew
  1. SevenParametres

    0下载:
  2. 不同大地坐标系的转换。七参数转换模型的基本过程,内涵高斯正反算。-Different geodetic coordinate system conversion. Seven-parameter transformation model of the basic processes of positive and negative connotations of Gaussian operator.
  3. 所属分类:控制台(字符窗口)编程

    • 发布日期:2024-04-29
    • 文件大小:750592
    • 提供者:朱良玉
  1. shizhong

    0下载:
  2. 用vhdl语言描述时钟的功能,并通过七段译码显示输出。-VHDL language used to describe the function of the clock and through the Seven-Segment display decoder output.
  3. 所属分类:其他小程序

    • 发布日期:2024-04-29
    • 文件大小:67584
    • 提供者:wuyub
  1. 7seg

    0下载:
  2. 模擬微電腦設計-七段顯示器字型~~VB 模擬微電腦-七段顯示器字型做計時器的顯示 -Simulation microcomputer design- Seven-Segment Display Font ~ ~ VB simulation microcomputer- Seven-Segment display font to do the timer display
  3. 所属分类:Windows编程

    • 发布日期:2024-04-29
    • 文件大小:5120
    • 提供者:tatsuya
  1. ex4-4

    0下载:
  2. 这个程序利用89S51控制一颗共阳七段显示器-89S51 to control the use of this procedure a total of Yang Seven-Segment Display
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-04-29
    • 文件大小:7168
    • 提供者:小志
  1. ex4-5

    0下载:
  2. 这个程序利用89S51控制一颗共阳七段显示器-89S51 to control the use of this procedure a total of Yang Seven-Segment Display
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-04-29
    • 文件大小:8192
    • 提供者:小志
  1. seven

    0下载:
  2. 这是我在ISP编程实验中独立编写的采用结构化描述的一个七人表决器,通过独特的3次映射一位全加器的方法从而实现七人表决器的功能,与网络上任何其他的七人表决器源码决无雷同。-This is my ISP programming in an independent experiment using a structured, prepared as described in a seven-member voting machine, thr
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:84992
    • 提供者:daisichong
  1. 七个不变矩

    0下载:
  2. 形状特征的七个不变矩,用matlab实现-Shape characteristics of the seven moment invariants, using matlab to achieve
  3. 所属分类:matlab例程

    • 发布日期:2024-04-29
    • 文件大小:1024
    • 提供者:何宇
  1. dny_brd1

    0下载:
  2. 3 simple AVR assembler code to use seven segment display. These 3 codes realy simple way using seven segment display. these are using in my microprocessor classes. Wert deney1.asm -> no scan Wert Deney2.asm ->
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-04-29
    • 文件大小:9216
    • 提供者:cooluser
  1. Seven-Segment-LED

    0下载:
  2. 七段数码管按键识别,按下1键显示1,按下2键显示2-Seven-Segment LED identification keys, press the 1 key to show the 1, press 2 to display 2
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:236544
    • 提供者:蔡宇佳
  1. Seven-Segment-Decoder

    0下载:
  2. 用VHDL语言在FPGA上实现将十进制bcd码转换成七段led显示码-FPGA using VHDL language to achieve will be converted to decimal bcd yards led seven segment display code
  3. 所属分类:VHDL编程

    • 发布日期:2024-04-29
    • 文件大小:1024
    • 提供者:吴金通
  1. Seven-segment-display-decoder

    0下载:
  2. 七段显示译码器 因为计算机输出的是BCD码,要想在数码管上显示十进制数,就必须先把BCD码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”。 -Seven-segment display decoder because the computer output is BCD code, in order to display in
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-04-29
    • 文件大小:3072
    • 提供者:jlz
« 12 3 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org