搜索资源列表

  1. qammodulation

    0下载:
  2. QAM,(正交振幅键控调制),输出为QAM调制产生的复包络信号-QAM, (quadrature amplitude modulation keying), QAM modulation output generated by complex envelope signal
  3. 所属分类:matlab例程

    • 发布日期:2024-05-08
    • 文件大小:1024
    • 提供者:傲然寒风
  1. oqpskmodulation

    0下载:
  2. 偏移四相移相键控调制,也就是OQPSK,输出信号为OQPSK调制信号的复包络-Offset four-phase phase shift keying modulation, that is, OQPSK, the output signal for the OQPSK modulated signal complex envelope
  3. 所属分类:matlab例程

    • 发布日期:2024-05-08
    • 文件大小:1024
    • 提供者:傲然寒风
  1. 4

    0下载:
  2. 有n个整数,使其前面各数顺序向后移m个位置,最后m个数变成最前面的m个数.-Have n integers, the number of its previous order backward shift position m, and finally the number m of m into the first number.
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-08
    • 文件大小:2048
    • 提供者:雷中科
  1. LEDDISP

    0下载:
  2. 通过观察EASYARM2200教学实验平台上的LED1-LED8的显示判断74HC595数据移位是否正确-EASYARM2200 teaching experiment by observing platform LED1-LED8 display data 74HC595 shift to determine whether the correct
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-08
    • 文件大小:1024
    • 提供者:sbhh
  1. spi

    0下载:
  2. VHDL实现SPI功能源代码 -- The SPI bus is a 3 wire bus that in effect links a serial shift -- register between the "master" and the "slave". Typically both the -- master and slave have an 8 bit shift register so the combine
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-08
    • 文件大小:65536
    • 提供者:阿飞
  1. DE2_Top

    0下载:
  2. 一个经过DE2板验证的数字移相信号发生器的HDL原代码!曾经能够获奖的,工程设计的好东西!-DE2 board to verify a digital shift of the HDL generator No. I believe the original code! Has been able to award-winning, engineering design of a good thing!
  3. 所属分类:中间件编程

    • 发布日期:2024-05-08
    • 文件大小:1497088
    • 提供者:寒冰
  1. qpsk

    1下载:
  2. 运用matlab,采用QPSK调制与解调,包括串并转换,傅立叶变换与逆变换,信道估计(采用LS准则),多普勒频移等模块,内容清晰明了。-Use matlab, using QPSK modulation and demodulation, including string and conversion, Fourier Transform and inverse transform, channel estimation (LS cri
  3. 所属分类:邮电通讯系统

    • 发布日期:2024-05-08
    • 文件大小:3072
    • 提供者:guang_j
  1. Clipboard

    0下载:
  2. 控制剪贴簿用两种方法,一种是利用 clipboardData,另外一种则是用 execCommand()。 这次先介绍第一种:clipboardData。 -Satirical制cooked hugeous source shift using slander Gou Gou Yang , instance, using clipboardData , Hitomi Did Elsie外Gou instance, using m
  3. 所属分类:其他小程序

    • 发布日期:2024-05-08
    • 文件大小:6144
    • 提供者:程序员
  1. QPoly_GUI

    0下载:
  2. 我修改的mesh绘制程序,我加入了meanshift 平滑功能-I modified mesh drawing program, I joined the meanshift smooth function
  3. 所属分类:3D图形编程

    • 发布日期:2024-05-08
    • 文件大小:1007616
    • 提供者:杜敏
  1. fast_mean_shift.tar

    0下载:
  2. 该代码用颜色提示实现层次式拓扑保持图像分割,效率高,分割效果好。-The code used color tips realize hierarchical topology to maintain image segmentation, high efficiency, good segmentation results.
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2024-05-08
    • 文件大小:59392
    • 提供者:dragon
  1. Ginademo

    0下载:
  2. 屏蔽windows的ctrl,shift,del键。-Shielding windows of the ctrl, shift, del key.
  3. 所属分类:系统编程

    • 发布日期:2024-05-08
    • 文件大小:19456
    • 提供者:caiqiong
  1. dpjjx

    0下载:
  2. 把所有端口的同时置高置低,不断闪烁 2 p1 口3 路流水灯理解2 进制数与端口的关系 3 单片机的加法:把52h+0fch 结果送p1 口 4 单片机的乘法:把ff*03h 结果送p1 5 单片机的二进制加法 6 单片机的两位计数器 7 学习单片机的逻辑运算 8 进一步学习单片机的逻辑运算 9 循环移位指令的流水灯 10 理解熟悉散转结构的程序 11 位操作指令的学习 12 比较指令的学习与
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-08
    • 文件大小:1532928
    • 提供者:西岭雪
  1. IntegrationTestEEPROM24C02

    0下载:
  2. 精确定时+中断+进制转换+移位+LED显示+按关键加速+EEPROM 存储测试-Precise Timing+ Interrupted+ Hexadecimal conversion+ Shift+ LED display+ By key accelerate+ EEPROM memory test
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-08
    • 文件大小:1024
    • 提供者:
  1. ocm4x8c(serial)

    0下载:
  2. 对金鹏的ocmJ4X8C系列LCM,和单片机进行串口通信的C语言程序。能实现任意位置输入汉字和字符,实现绘图,显示光标和反白;光标和显示移位。-Jinpeng ocmJ4X8C series of the LCM, and single-chip serial communication to the C language program. Able to realize anywhere to input Chinese charac
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-08
    • 文件大小:2048
    • 提供者:林生
  1. mean_shift

    0下载:
  2. 介绍关于利用基于核函数的Meanshift跟踪算法的PPT,非常好,有兴趣的学习-Introduction on the use of Kernel-based tracking algorithm Meanshift the PPT, very good, are interested in learning
  3. 所属分类:软件工程

    • 发布日期:2024-05-08
    • 文件大小:6727680
    • 提供者:李江涛
  1. shift_div

    0下载:
  2. 基于FPGA,实现了移位除法的功能,程序接口简单,十分好用,已经验证。-Based on the FPGA, to achieve the division of functional shift, the program interface is simple, very easy to use, has already been verified.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-08
    • 文件大小:1024
    • 提供者:liqijun
  1. shift_mult

    0下载:
  2. 基于FPGA实现移位乘法功能,已经验证,十分好用。-FPGA-based multiplication realize shift function, has been verified, is very easy to use.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-08
    • 文件大小:311296
    • 提供者:liqijun
  1. camshift

    0下载:
  2. 基于camshift算法的跟踪改进,适用于摄像头跟踪等-Camshift tracking algorithm based on improved, applied to camera tracking
  3. 所属分类:其他小程序

    • 发布日期:2024-05-08
    • 文件大小:306176
    • 提供者:阿亚拉
  1. RTC

    0下载:
  2. RTC实时时钟例程,可值参考移值使用.好就顶下.-RTC Real Time Clock routine can shift the value of the use of reference values. Good on the top of the next.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-08
    • 文件大小:4096
    • 提供者:邓和
  1. MapMark

    0下载:
  2. vc++ MapX二次开发中问题较多的中文标注的解决。在地图上标绘图标,可输入经纬度精确定位,并显示最多可输入50个汉字的标注,图标在地图上拖动移位,图标删除等,附带缩放、漫游、归心、状态栏显示当前鼠标位置经纬度等基本功能。-vc++ MapX secondary development of the Chinese are more marked resolved. Drawing on the map superscr ipt su
  3. 所属分类:GIS编程

    • 发布日期:2024-05-08
    • 文件大小:552960
    • 提供者:Kelly
« 1 2 ... 45 46 47 48 49 50»

源码中国 www.ymcn.org