搜索资源列表

  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读
  3. 所属分类:嵌入式Linux

    • 发布日期:2024-05-18
    • 文件大小:44032
    • 提供者:394177191
  1. SignalSpectrumAnalysis

    0下载:
  2. 信号频谱分析 1. 观测已知方波信号、正弦波信号的频谱 分析频率为4KHz、8KHz的占空比为50 的方波信号、正弦波信号的频谱。记录所得频谱图。 2. 观测实时模拟信号(语音)的频谱 用音频设备采集一段语音,将语音存为.wav格式。对wav文件作分段傅里叶变换分析。语音是分音节的,应把它分段分析,而且实际运用中的数字信号处理的FFT的点数是有限的,一般只能达到千点。用傅里叶反变换IFFT,从频域恢复信号。画出频谱图和语
  3. 所属分类:绘图程序

    • 发布日期:2024-05-18
    • 文件大小:64512
    • 提供者:benny
  1. FrequencyDomainAnalysisOfTheSystem

    0下载:
  2. 信号频谱分析 1. 观测已知方波信号、正弦波信号的频谱 分析频率为4KHz、8KHz的占空比为50 的方波信号、正弦波信号的频谱。记录所得频谱图。 观测实时模拟信号(语音)的频谱 用音频设备采集一段语音,将语音存为.wav格式。对wav文件作分段傅里叶变换分析。语音是分音节的,应把它分段分析,而且实际运用中的数字信号处理的FFT的点数是有限的,一般只能达到千点。用傅里叶反变换IFFT,从频域恢复信号。画出频谱图和语音波形
  3. 所属分类:绘图程序

    • 发布日期:2024-05-18
    • 文件大小:94208
    • 提供者:benny
  1. qam8_nograycode

    0下载:
  2. An 8-QAM communications channel simulation in Simulink, constructed from a 16-QAM model and using an I,Q correlation receiver. QAM (quadrature amplitude modulation) is a method of combining two amplitude-modulated (
  3. 所属分类:编程文档

    • 发布日期:2024-05-18
    • 文件大小:11264
    • 提供者:Griffin Wright
  1. sine

    0下载:
  2. DPSK sine generator sample code
  3. 所属分类:通讯编程

    • 发布日期:2024-05-18
    • 文件大小:231424
    • 提供者:kcj
  1. sine

    0下载:
  2. dspbuilder中用spectrum scope来查看波形的频谱,一些参数需要正确设置,一些模块需要正确添加-dspbuilder using spectrum scope to see the waveform of the spectrum, some parameters need to properly set up, a number of modules required to add the correct
  3. 所属分类:Windows编程

    • 发布日期:2024-05-18
    • 文件大小:7168
    • 提供者:诸叶
  1. 7225321-Using-DSP-Technology-for-True-Sine-PWM-Ge

    0下载:
  2. Using DSP Technology for True Sine PWM Generators for Power Inverters
  3. 所属分类:行业发展研究

    • 发布日期:2024-05-18
    • 文件大小:792576
    • 提供者:nagendra
  1. sineinverter

    0下载:
  2. Mathematical Manipulation of Pure Sine Wave Inverter Using Atmel 89S2051
  3. 所属分类:行业发展研究

    • 发布日期:2024-05-18
    • 文件大小:267264
    • 提供者:nagendra
  1. simpleekf

    0下载:
  2. 本matlab程序实现了扩展kalman滤波,对一个随机生成的正弦信号进行EKF滤波,并画图展现对比。-This Matlab source code is the demo of Extended Kalman Filter,It s filter for randomly sine singal and plot the result。
  3. 所属分类:matlab例程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:李云龙
  1. VHDL(sin)

    0下载:
  2. 基于ROM的正弦波发生器的设计 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习基于ROM的正弦波发生器的设计 二.实验内容 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:17408
    • 提供者:爱好
  1. 111

    0下载:
  2. U3990专用正弦波芯片1000W逆变电源资料(带原理图)-u3990 special sine wave inverter 1000W-chip data (with diagram)
  3. 所属分类:文件格式

    • 发布日期:2024-05-18
    • 文件大小:2940928
    • 提供者:虞厶
  1. bd

    0下载:
  2. 正弦发生器,可以根据自己的需要改变参数,实现仿真-an example solution of sine wave generator
  3. 所属分类:matlab例程

    • 发布日期:2024-05-18
    • 文件大小:4096
    • 提供者:晨宇
  1. pic16f72-sine-ups

    0下载:
  2. inverter 50Hz details
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:297984
    • 提供者:shaji
  1. PIC16_Inverter

    0下载:
  2. pic16f876 sine inverter
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:132096
    • 提供者:shaji
  1. cordic

    0下载:
  2. 该程序使用Verilog语言,可以生成dds正余弦信号-The program uses the Verilog language, can generate sine and cosine signals dds
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:6144
    • 提供者:王丽
  1. Sine

    0下载:
  2. GENERATION OF SINE WAVE USING TMS320LF2407
  3. 所属分类:行业应用软件

    • 发布日期:2024-05-18
    • 文件大小:54272
    • 提供者:Sree
  1. 12345

    0下载:
  2. 12v逆变AC220电源,采用SPWM双脉宽调制方法实现正弦波的输出-AC220 12v power inverter, pulse width modulation method used to achieve double-SPWM sine wave output
  3. 所属分类:文档资料

    • 发布日期:2024-05-18
    • 文件大小:15360
    • 提供者:杜金龙
  1. DDPWMPOWER

    0下载:
  2. 一种简单实用的车载正弦波逆变电源 采用PWM-A simple and practical vehicle sine wave inverter with PWM
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-18
    • 文件大小:367616
    • 提供者:zwy
  1. sine_vhdl

    0下载:
  2. this a snipet of code about the sine generator implementation in vhdl-this is a snipet of code about the sine generator implementation in vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:1059840
    • 提供者:boulou
  1. Low-Frequency-SINE-Generator

    0下载:
  2. 一个简单的DDS实现正弦波输出的试验,内附PROTEUS 仿真程序。本例只实现了正弦波功能。-DDS to achieve a simple sine wave output test.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:50176
    • 提供者:dali9165
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »

源码中国 www.ymcn.org