搜索资源列表

  1. ssram.tar

    1下载:
  2. implemention of ssran in VHDL
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1767
    • 提供者:peng
  1. leon3-altera-ep2s60-ddr

    0下载:
  2. This leon3 design is tailored to the Altera NiosII Startix2 Development board, with 16-bit DDR SDRAM and 2 Mbyte of SSRAM. As of this time, the DDR interface only works up to 120 MHz. At 130, DDR data can be r
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:114780
    • 提供者:king.xia
  1. 256X8 SSRAM 建模仿真与综合

    0下载:
  2. 一篇关于ssram建模仿真的文献
  3. 所属分类:其它资源

    • 发布日期:2012-02-16
    • 文件大小:1116804
    • 提供者:aiyutan
  1. sram_verilog

    0下载:
  2. 告诉图形采集 verilog代码 很简单的 第一次发-tell graphics Acquisition Verilog code is very simple first grant
  3. 所属分类:视频捕捉/采集

    • 发布日期:2024-05-14
    • 文件大小:222208
    • 提供者:徐常志
  1. ssram.tar

    0下载:
  2. implemention of ssran in VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:2048
    • 提供者:
  1. GetprocessRam

    0下载:
  2. 该程序可以获取任意运行进程的内存使用信息以及页面文件使用,程序中包括一些加载窗体,创建数组测试。-the program can get the process running the arbitrary use of information and memory page document use, procedures include some loading windows, creating an array testing.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-14
    • 文件大小:25600
    • 提供者:diy
  1. leon3-altera-ep2s60-ddr

    0下载:
  2. This leon3 design is tailored to the Altera NiosII Startix2 Development board, with 16-bit DDR SDRAM and 2 Mbyte of SSRAM. As of this time, the DDR interface only works up to 120 MHz. At 130, DDR data can be r
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:114688
    • 提供者:
  1. altera_avalon_cy7c1380_ssram

    0下载:
  2. 关于altera的SRAM的读写控制IP代码,有兴趣的朋友可以下去-On the SRAM
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-14
    • 文件大小:7168
    • 提供者:liufanyu
  1. DE1_SD_Card_Audio

    0下载:
  2. SD卡操作模块,一个简单的sd卡使用实例-SD card operation module, a simple example of the use of sd cards
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1041408
    • 提供者:刘勇
  1. SSRAMcontroller

    0下载:
  2. SSRAM控制器,vhdl实现并通过验证-ssram controller,implement by vhdl and complier
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-14
    • 文件大小:2048
    • 提供者:陈磊
  1. Memory

    0下载:
  2. 存储器类型介绍:SSRAM SDRAM Flash Memory EEPROM EPROM-Memory Introduction
  3. 所属分类:文档资料

    • 发布日期:2024-05-14
    • 文件大小:8192
    • 提供者:Kim Zeng
  1. AHB_SRRAM

    0下载:
  2. SSRAM with AHB bus interface source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:205824
    • 提供者:nan
  1. ssramWR

    0下载:
  2. SSRAM CY7C1383C的读写延时控制程序-CY7C1383C delay control procedures to read and write
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:张金龙
  1. mem_ctrl

    0下载:
  2. 老外写的通用的存储器控制核,支持SDRAM SSRAM FLASH,ROM等等 8个片选信号 支持RMW cycles最大可达9*64M Bytes的存储器容量-Written by foreigners universal memory controller core, support for SDRAM SSRAM FLASH, ROM, etc. 8 chip select signals support RMW cycles u
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:397312
    • 提供者:lishufei
  1. vga_gui

    0下载:
  2. 在DE2开发板上实现,由于DE2中的SSRAM只有512K,所以640*480*3(byte)的显存是不够的显示结果是经缩放 后的效果,具体可修改Altera_UP_Avalon_Pixel_Buffer buffer模块中的相关代码。 我把代码移植到DE2-70上后,显示的就很正常了。-In the DE2 development board to achieve, due to the SSRAM DE2 onl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:29208576
    • 提供者:张业
  1. ssram

    0下载:
  2. 同步静态RAM读写程序,可用作模块,已通过ISE12.4验证-Synchronous Static RAM read and write procedures, can be used as modules, have been verified by ISE12.4
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:koo
  1. 71V25761_Verilog_99056.tar

    0下载:
  2. SSRAM Simulation Model
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:8192
    • 提供者:Richard Klein
  1. ssram-and-tesebench

    0下载:
  2. 实现一个256x8的同步静态存储器SSRAM,用硬件描述语言Verilog写的,同时谢了测试程序-it realized a 256x8 SSRAM,writen by Hardware descr iption language Verilog ,and include the testbench.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:李柏祥
  1. ssram

    0下载:
  2. ssram using VHDL code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1970176
    • 提供者:simyunsub
  1. SSRAM-to-NOR-Flash-Bridge

    0下载:
  2. nor flash(m29w128g)的读,写,擦出等操作,另一边是标准的SSRAM操作接口。--one port is nor flash interface,including the basic operation of nor flash(m29w128g);the other one is standard ssram interface。
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:3072
    • 提供者:dajiang
« 12 »

源码中国 www.ymcn.org