搜索资源列表

  1. testben

    0下载:
  2. 这是由xilin公司提供的测试文档,对于用XILINX公司的CPLD/FPGA的用户来说挺不错的。-xilin provided by the test documents, XILINX used for the CPLD / FPGA users quite well.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:197080
    • 提供者:苏晓利
  1. testben

    0下载:
  2. 这是由xilin公司提供的测试文档,对于用XILINX公司的CPLD/FPGA的用户来说挺不错的。-xilin provided by the test documents, XILINX used for the CPLD/FPGA users quite well.
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:196608
    • 提供者:苏晓利
  1. VHDL_TESTBENCH

    0下载:
  2. 怎样用VHDL写TESTBENCH.rar VHDL仿真-how to use VHDL to write VHDL simulation TESTBENCH.rar
  3. 所属分类:电子书籍

    • 发布日期:2024-05-15
    • 文件大小:9594880
    • 提供者:
  1. verilog_testbench_preliminary

    0下载:
  2. verilog testbench preliminary,很有用的-verilog testbench preliminary, very useful
  3. 所属分类:文件格式

    • 发布日期:2024-05-15
    • 文件大小:60416
    • 提供者:刘彦
  1. I2C_HDL

    0下载:
  2. I2C bus HDL source and testbench
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:701440
    • 提供者:liuKe
  1. Writing_Testbench

    0下载:
  2. Writing_Testbench Functional Verification of HDL Models Janick Bergeron
  3. 所属分类:电子书籍

    • 发布日期:2024-05-15
    • 文件大小:4112384
    • 提供者:虞立
  1. asynch_fifo

    0下载:
  2. FPGA VERILOG 用DCFIFO实现 跨时钟域的数据传输,已验证,直接可用-FPGA VERILOG using DCFIFO realize cross-clock domain data transfer, has been verified, directly available
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1028096
    • 提供者:alison
  1. SPI_FireWall

    0下载:
  2. verilog spi file with testbench
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2934784
    • 提供者:xgh
  1. uart_tran

    0下载:
  2. UART串口的传送verilog原程序,已经经过了编译仿真-Verilog UART serial transmission of the original procedure has been compiled after a simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:269312
    • 提供者:王迪
  1. XiaYuWen_8_RISC_CPU

    0下载:
  2. 夏宇闻8位RISC_CPU的完整代码+TESTBENCH(已调试) modelsim工程文件,包括书中所测试的三个程序和相关数据,绝对可用~所有信号名均遵从原书。在论坛中没有找到testbench的,只有一个mcu的代码,但很多和书中的是不一样的,自己改了下下~`````大家多多支持啊~`我觉得书中也还是有些不尽如人意的地方,如clk_gen.v中clk2,clk4是没有用的,assign clk1=~clk再用clk1的neged
  3. 所属分类:书籍源码

    • 发布日期:2024-05-15
    • 文件大小:86016
    • 提供者:刘志伟
  1. uart_testbench

    0下载:
  2. opcore.org "uart16550" 项目的testbench-test bench of "uart16550" project
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:7168
    • 提供者:machenghai
  1. TestBench

    0下载:
  2. 怎样写testbench 本文的实际编程环境:ISE 6.2i.03 ModelSim 5.8 SE Synplify Pro 7.6 编程语言 VHDL 在ISE 中调用ModelSim 进行仿真-、assert (s_cyi((DWIDTH-1)/4) = 0 ) and (s_ovi = 0 ) and (s_qutnt = conv_std_logic_vector(v_quot,DWIDTH))
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:90112
    • 提供者:lei
  1. Springer_2006_SystemVerilog_for_Verificatio_Chris

    0下载:
  2. A Guide to Learning the Testbench System Verilog Language Features
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1412096
    • 提供者:aj000
  1. uart-vhdl-testbench

    0下载:
  2. simple uart vhdl behavioural model (package) vhdl testbench example
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:Mark
  1. new_fifo

    0下载:
  2. 最新的testbench of FIFO ,使用Vmm,VCS,可以大致了解一下VMM的体系-the new fifo VMM testbench
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:6144
    • 提供者:niusl
  1. Text-IO

    0下载:
  2. 基于VHDL的Testbench读取文件的编写,很有用的 基于VHDL的Testbench读取文件的编写,很有用的-VHDL Code text_io for the "Simple Test Bench" example VHDL Code about text_io for the "Simple Test Bench" example
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:帅哥新
  1. VHDL--TESTBENCH

    0下载:
  2. VHDL描述的TESTBENCH写法 ,对新人有帮助。-The use of VHDL to write TESTBENCH files.useful for new people
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:9600000
    • 提供者:姜珊

源码中国 www.ymcn.org