搜索资源列表

  1. TrafficLights_VHDL

    0下载:
  2. 交通灯信号控制器,VHDL语言编写,已实验通过,具体见RAR注释-traffic signal controller, VHDL language, experiment, see specific RAR Notes
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:4096
    • 提供者:小花猫
  1. trafficlightVHDL

    0下载:
  2. 用VHDL写的交通灯控制器,基本功能齐全,和马路上十字路口的红绿灯模拟得很像的-write VHDL traffic signal controllers and complete basic functions, on the road and the traffic lights crossroads as the very Simulation
  3. 所属分类:交通/航空行业

    • 发布日期:2024-05-19
    • 文件大小:65536
    • 提供者:solarphoebus
  1. jiaotongdeng_mealy2

    0下载:
  2. 自编的交通灯程序,使用VHDL语言,使用状态机模式。-Written and directed traffic lights, the use of VHDL language, using the state machine model.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:韩彬
  1. trafic

    0下载:
  2. CPLD lattice1032 VHDL实现交通灯控制!-CPLD lattice1032 VHDL to achieve control of traffic lights!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:144384
    • 提供者:徐家汇
  1. traffic

    0下载:
  2. Verilog hdl开发的交通灯完整实例,是初学者学习fpga的典型例子-Verilog hdl developed a complete example of traffic lights is a beginner to learn a classic example of FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:364544
    • 提供者:heilongjiang
  1. asdf

    0下载:
  2. EDA常用计数函数VHDL程序设计,基于VHDL的交通灯设计实例&分频器-EDA common counting function VHDL program design, based on the VHDL design example of the traffic lights
  3. 所属分类:软件工程

    • 发布日期:2024-05-19
    • 文件大小:665600
    • 提供者:lzh
  1. EDA4.3

    0下载:
  2. 一个简单的交通灯程序(包括验证,主程序,和译码程序),在ALTER DE2板上实现-A simple traffic lights (including authentication, the main program, and the decoding process), in the ALTER DE2 board realize
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:602112
    • 提供者:朱明
  1. jiaotongdeng

    0下载:
  2. 交通灯VHDL设计,所有程序和顶层逻辑图都有,编译已通过,管脚分配可按实际分配-VHDL design of traffic lights, all the procedures and have a top-level logic diagram, the compiler has passed, according to the actual distribution of pin allocation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:234496
    • 提供者:zhang
  1. traffic

    0下载:
  2. 交通灯 实现东西南北四个路口的依次通车,还有紧急情况调整等功能-Traffic lights to achieve the North and the South East and West followed by the opening of the four junctions, as well as adjust the functions of emergency
  3. 所属分类:交通/航空行业

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:吴称光
  1. trafficlightvhdlcode

    0下载:
  2. VHDL实现的交通灯程序,可以定时南北和东西方向的交通灯信号,控制红黄绿各灯亮的时间,并考虑紧急情况如有救护车通过-VHDL procedures realize the traffic lights, you can regularly north-south and east-west direction of the traffic lights signal control red, yellow, and green ligh
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:581632
    • 提供者:dongming
  1. DPA_4_TrafficLight

    0下载:
  2. 用VHDL实现交通灯的功能 很实用的 我认为比其他的的交通灯程序更好
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:377856
    • 提供者:郭海东
  1. jiaotongdeng

    0下载:
  2. 课程设计《交通灯控制的设计》,不知道会不会太简单了。-Curriculum design
  3. 所属分类:压缩解压

    • 发布日期:2024-05-19
    • 文件大小:657408
    • 提供者:张云隆
  1. ledcontrol

    0下载:
  2. 该程序为用vhdl语言编写的彩灯控制程序! 通过状态机实现三个彩灯的状态装换,红灯亮2秒,绿灯亮3秒,黄灯亮1秒! 时钟频率为1HZ! 通过该程序也可以改成交通灯的情况-The procedure for the use of VHDL language Lantern control procedures! Through the state machine to achieve the status of three l
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:吴明星
  1. myjiaotongdeng

    0下载:
  2. 本例实现交通灯的控制,用LED显示灯表示交通状态,并以7段数码管显示当前状态剩余秒数。 主干道绿灯亮时,支干道红灯亮,反之依然,二者交替允许通行,主干道每次放行35秒,支干道每次放行25秒。每次由绿灯变为红灯的过程当中,亮光的黄灯作为过度,黄灯时间为5秒。-Example realize the control of traffic lights with LED lights that show the traffic statu
  3. 所属分类:汇编语言

    • 发布日期:2024-05-19
    • 文件大小:465920
    • 提供者:李东
  1. jtdkz

    0下载:
  2. 欢迎大家下载,vhdl编写的交通灯控制原代码,谢谢欢迎大家下载,vhdl编写的交通灯控制原代码,-Welcome everyone to download, vhdl traffic lights to control the preparation of the original code, Thank you, everyone welcome to download, vhdl traffic lights to control
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:99328
    • 提供者:蒋乃乾
  1. vhdl

    0下载:
  2. 交通灯的设计,是基于vhdl的控制程序设计。-The design of traffic lights, is based on the VHDL design of the control procedures.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:3072
    • 提供者:snowy
  1. jiaotdengCPLD

    0下载:
  2. 这是一个用Verilog HDL语言编写的交通灯程序。可以用Quartus II运行。-This is a use of Verilog HDL language program traffic lights. Can be used to run Quartus II.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:571392
    • 提供者:小李
  1. jiaotong

    0下载:
  2. 、实现的功能 A.通常情况下,交通灯按正常状态依次点亮红黄绿灯,交警可以根据路口流量的情况分别设置红、绿、黄灯持续点亮时间。 B、在夜深时,因为各路口的车流量减少,按交通规则,四个路口可以同时闪烁黄灯,在该设计中对正常情况下的持续时间进行初始化,当达到设定时间后,四个黄灯开始同时闪烁。 C、当手动控制时,可以将四个路口依次置为绿灯或者将相对的两个路口置为绿灯。
  3. 所属分类:汇编语言

    • 发布日期:2024-05-19
    • 文件大小:12288
    • 提供者:徐民
  1. jiaotongdengEDAsheji

    0下载:
  2. 这是一个用EDA设计的交通灯。十字入口分主干道和支干道-This is a design using EDA traffic lights. Cross the entrance sub-trunk road and branch roads
  3. 所属分类:交通/航空行业

    • 发布日期:2024-05-19
    • 文件大小:9216
    • 提供者:雾里雾
  1. traffic

    0下载:
  2. 交通灯控制系统的FPGA设计与实现,红灯亮55s,黄灯亮5s,绿灯亮50s-Traffic Light Control System Design and Implementation of FPGA, the red light 55s, yellow light 5s, green 50s
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:364544
    • 提供者:liujia
« 1 2 34 5 6 7 8 9 10 ... 22 »

源码中国 www.ymcn.org