搜索资源列表

  1. select7

    0下载:
  2. VHDL七人表决器免费为大家服务-VHDL seven people to vote for you for free!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:36323
    • 提供者:man
  1. seven

    0下载:
  2. seven.vhd 七人表决器VHDL源码 七人表决器.doc 程序说明-seven.vhd seven votes for VHDL source code for seven votes. A descr iption of the procedures for doc
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4415
    • 提供者:杨奎元
  1. vote7-2

    0下载:
  2. 七人表决器 在表决的过程中 多于四个通过 少于四个不通过
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1014
    • 提供者:duzhifu
  1. voterandcounter

    0下载:
  2. 用VHDL写的源代码程序,包涵三人表决器,七人表决器,全加器以及模24,模60的计数器,都是单文件的,由于程序小又多,所以集中在一起,供新学习VHDL语言的朋友们参考。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2174
    • 提供者:韩笑
  1. VHDL学习的好资料--18个VHDL实验源代码

    6下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. VHDL范例

    0下载:
  2. 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-10
    • 文件大小:43008
    • 提供者:kerty
  1. select7

    0下载:
  2. VHDL七人表决器免费为大家服务-VHDL seven people to vote for you for free!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:35840
    • 提供者:man
  1. biaojueqi

    0下载:
  2. eda7人表决器,设计一个七人表决电路,当参与表决的7人中有4人或4人以上赞同时,表决器输出“1” 表示通过,否则输出“0”表示不通过。 实验时,可用7个电平开关作为表决器的7个输入变量,输入“1”表示表决者“赞同” 输入“0”表示表决者“不赞同”。 -eda7 votes, design a seven-vote circuit, When a vote of seven people who have four o
  3. 所属分类:其他小程序

    • 发布日期:2024-05-10
    • 文件大小:10240
    • 提供者:王哥
  1. seven

    0下载:
  2. seven.vhd 七人表决器VHDL源码 七人表决器.doc 程序说明-seven.vhd seven votes for VHDL source code for seven votes. A descr iption of the procedures for doc
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:4096
    • 提供者:杨奎元
  1. vote7-2

    0下载:
  2. 七人表决器 在表决的过程中 多于四个通过 少于四个不通过-Seven people vote in the voting process more than four does not pass through the less than four
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:duzhifu
  1. voterandcounter

    0下载:
  2. 用VHDL写的源代码程序,包涵三人表决器,七人表决器,全加器以及模24,模60的计数器,都是单文件的,由于程序小又多,所以集中在一起,供新学习VHDL语言的朋友们参考。-With VHDL source code written procedures, includes three of the voting machine, vote on seven people, and full adder, as well as modulu
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:2048
    • 提供者:韩笑
  1. seven

    0下载:
  2. 这是我在ISP编程实验中独立编写的采用结构化描述的一个七人表决器,通过独特的3次映射一位全加器的方法从而实现七人表决器的功能,与网络上任何其他的七人表决器源码决无雷同。-This is my ISP programming in an independent experiment using a structured, prepared as described in a seven-member voting machine, thr
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:84992
    • 提供者:daisichong
  1. bhgfdti

    0下载:
  2. 含有七人表决器,格雷码变换电路,英文字符显示电路,基本触发器(D和JK),74LS160计数器功能模块,步长可变的加减计数器-Containing seven people vote, and Gray code conversion circuit, the English characters display circuit, the basic flip-flop (D and JK), 74LS160 counter funct
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:423936
    • 提供者:俞皓尹
  1. EDA

    0下载:
  2. 3-8译码器设计 4选1数据选择器设计 4位比较器设计 七人表决器设计 计数器设计 交通灯信号控制器设计-3-8 Decoder 4 election to choose a data compared Design 4 Design Design a vote of seven traffic lights signal counter design controller design
  3. 所属分类:其他小程序

    • 发布日期:2024-05-10
    • 文件大小:233472
    • 提供者:宋立泉
  1. seven_vote

    0下载:
  2. 这是一个七人表决器,顾名思意就是适用于7个人的表决器,当有4个人以上赞成就会响-this is a vote from seven people
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:128000
    • 提供者:梁永安
  1. 7

    0下载:
  2. 简单的七人表决器,用c语言写的,下载到单片机可实现其功能。-Seven simple voting machine, using c language, and can be downloaded to a single chip to achieve its function.
  3. 所属分类:C#编程

    • 发布日期:2024-05-10
    • 文件大小:104448
    • 提供者:
  1. seven_people

    0下载:
  2. 七人表决器。有七个输入口,以多数胜于少数的结果进行表决-Seven voting machine. There are seven input to the majority of the results is better than a small number of voting
  3. 所属分类:其他小程序

    • 发布日期:2024-05-10
    • 文件大小:101376
    • 提供者:庄岚
  1. judge7

    0下载:
  2. 实用七人表决器源码,可直接到max+plus2上验证。-Useful source of seven voting machines can be directly to the max+ plus2 to validate.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:chenx
  1. 7renbiaojueqi

    0下载:
  2. VHDL实现的一个七人表决器源程序,当人数多于四人时表决通过-VHDL implementation of a seven-member voting machine source code, when the number of people vote more than four hours
  3. 所属分类:汇编语言

    • 发布日期:2024-05-10
    • 文件大小:221184
    • 提供者:tangchengjiang
  1. biaojue

    0下载:
  2. VHDL编写的七人表决器,有做课程设计的有福了-Written in VHDL seven voting machine, there are so blessed Oh curriculum design
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:208896
    • 提供者:龙刚
« 12 3 »

源码中国 www.ymcn.org