搜索资源列表

  1. cpldtraffic

    0下载:
  2. 交通灯信号的fpga实现。通过verilog语言编程,在fpga上调试通过。-traffic signal lights they simply achieve. Through the Verilog language programming, they simply passed on debugging.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:948
    • 提供者:王海
  1. sydg

    0下载:
  2. 微机接口8255编程,交通模拟信号发生-Computer Programming Interface 8255, traffic analog signal occurred
  3. 所属分类:按钮控件

    • 发布日期:2008-10-13
    • 文件大小:8759
    • 提供者:liuyi
  1. digitalsystemDesign

    0下载:
  2. 第7章数字系统设计实例 7.1 半整数分频器的设计 7.2 音乐发生器 7.3 2FSK/2PSK信号产生器 7.4 实用多功能电子表 7.5 交通灯控制器 7.6 数字频率计-Chapter 7 Digital System Design Example 7.1-integer dividers designed Music Generator 7.2 7.3 2F SK/2PSK Signal Generat
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:446705
    • 提供者:李唐
  1. xinhaochuliyuanli

    0下载:
  2. 西南交通大学张翠芳老师〈信号处理原理〉课程的电子教案,习题-Southwest Jiaotong University Jiasu teachers "signal processing theory" courses of electronic lesson plans, Exercises
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:9669592
    • 提供者:zy
  1. rude

    0下载:
  2. 本程序用神经网络模型,以交通流为输入,以信号配时为输出.-this procedure using neural network model for traffic flow, as well as to signal timing for output.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:372495
    • 提供者:花心
  1. luii

    0下载:
  2. 本程序用神经网络模型,以交通流为输入,以信号配时为输出.-this procedure using neural network model for traffic flow, as well as to signal timing for output.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:813742
    • 提供者:花心
  1. ara

    0下载:
  2. 本程序用神经网络模型,以交通流为输入,以信号配时为输出.-this procedure using neural network model for traffic flow, as well as to signal timing for output.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:18358
    • 提供者:花心
  1. Digital_system_design_example

    0下载:
  2. 数字系统设计实例.pdf,VHDL语言实现,7.1 半整数分频器的设计7.2 音乐发生器7.3 2FSK/2PSK信号产生器7.4 实用多功能电子表7.5 交通灯控制器 7.6 数字频率计.值得一看。-digital system design examples. Pdf, VHDL, 7.1-integer divider design Music Generator 7.2 7.3 2FSK/2PSK Signal Genera
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:457718
    • 提供者:王天
  1. xinhao_m

    0下载:
  2. 我搜集的西安交通大学的数字信号处理的原码-I collected the Xi'an Jiaotong University in the digital signal processing the original code
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:32343
    • 提供者:lixu
  1. TrafficLights_VHDL

    0下载:
  2. 交通灯信号控制器,VHDL语言编写,已实验通过,具体见RAR注释-traffic signal controller, VHDL language, experiment, see specific RAR Notes
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4207
    • 提供者:小花猫
  1. wavelet

    0下载:
  2. 上海交通大学硕士研究生毕业论文,主要介绍小波分析在信号处理中的应用
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:587424
    • 提供者:shangxiaosan
  1. ga_cheliangjiaotong

    0下载:
  2. 基于改进的遗传算法的城市交通信号优化分析,以车辆平均延误时间最短为目标,可直接运行-Based on the improved genetic algorithm for urban traffic signal optimization analysis, the average delay time of the vehicle is the shortest target, which can be run directly
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-14
    • 文件大小:30720
    • 提供者:易水寒
  1. 汽车牌照的简单自动识别

    0下载:
  2. 对汽车牌照简单的识别的程序和函数,例如,停车系统识别,交通信号拍照识别(Simple identification of car license, parking system, traffic signal camera)
  3. 所属分类:书籍源码

    • 发布日期:2024-05-14
    • 文件大小:224256
    • 提供者:yyyzzzwww
  1. 基于单片机控制的交通灯

    0下载:
  2. 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本设计主要分为五大模块输入控制电路、时钟控制电路、片内外程序切换控制、显示电路。以MSC-51系列单片机IntelAT89C51为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红、绿灯、黄灯燃亮时间的功能;为了系统稳定可靠采用了74LS14施密特触发器芯片的消抖电路,避免了系统
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. LIBRARY

    0下载:
  2. 交通信号灯 (1)、设计一个南北方向为主干道,东西方向为支干道的; (2)、选择一个标准时钟发生电路,为电路提供一个标准1HZ信号; (3)、(a)交通灯从绿变红时,有5秒黄灯亮的间隔时间;(b)交通灯红变绿是直接进行的,没有间隔时间;(c)主干道上的绿灯时间为50秒,支干道的绿灯时间为30秒(traffic light Design a north-south direction of the main road, East and
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:13312
    • 提供者:godlu666
  1. 微机课设(红绿灯)

    0下载:
  2. 控制红绿信号指示灯设有一个十字路口,1、3为南,北方向,2、4为东西方向,初始态为4个路口的红灯全亮。之后,1、3路口的绿灯亮,2、4路口的红灯亮,1、3路口方向通车。延迟30秒后,1、3路口的绿灯熄灭,而1,3路口的黄灯开始闪烁(1HZ)。 闪烁5次后,1、3路口的红灯亮,同时2、4路口的绿灯亮,2、4路口方向开始通车。延迟30秒时间后,2、4路口的绿灯熄灭,而黄灯开始闪烁。闪烁5次后,再切换到1、3路口方向。之后,重复上述过程。(
  3. 所属分类:汇编语言

    • 发布日期:2024-05-14
    • 文件大小:359424
    • 提供者:LALAK
  1. traffic light

    0下载:
  2. C语言,模拟交通灯信号。基于FPGA,需要连接至屏幕使用,可以运行。(FPGA traffic light, c language)
  3. 所属分类:汇编语言

    • 发布日期:2024-05-14
    • 文件大小:14336
    • 提供者:l619999872
  1. 倒计时控制板通讯式 CB-DJSV10_V1.0.0

    0下载:
  2. 1. 单片机为STM32F051 2. 485通讯式倒计时 3. 协议符合倒计时标准“GAT 508-2004 道路交通信号倒计时显示器”(1. MCU is STM32F051 2.485 communication countdown panel 3. agreement conforms to the countdown standard "GAT 508-2004 road traffic signal cou
  3. 所属分类:交通/航空行业

    • 发布日期:2024-05-14
    • 文件大小:1971200
    • 提供者:jackyhuang
  1. CarND-Traffic-Sign-Classifier-Project-master

    0下载:
  2. CarND-Traffic-Sign-Classifier(traffic-Sign-Classifier---TEST)
  3. 所属分类:OpenCV

    • 发布日期:2024-05-14
    • 文件大小:311296
    • 提供者:butofme
  1. test

    0下载:
  2. 能够实现轨道交通高频和低频信号调制,显示出相应的信息。(It can realize high frequency and low frequency signal modulation of rail transit and display corresponding information.)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-14
    • 文件大小:1468416
    • 提供者:anonymous1964
« 1 2 3 4 5 6 78 9 10 11 12 13 »

源码中国 www.ymcn.org